实例教学七 数码管 CPLD FPGA可编程逻辑器件.ppt

实例教学七 数码管 CPLD FPGA可编程逻辑器件.ppt

  1. 1、本文档共31页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
LED数码管显示 的VHDL设计 桂林师范高等专科学校 羊日飞 LED数码管显示实例运行环境 红色飓风二代XILINX FPGA开发板 RC2-3S400 LED数码管的板级硬件电路 四位8段式共阴数码管 LED数码管的板级硬件电路 LED数码管位线驱动电路 SEG_LED_S0、 SEG_LED_S1、 SEG_LED_S2 SEG_LED_S3 接FPGA相应引脚 LED数码管的板级硬件电路 8段式共阴数码管的段码 一、LED数码管的静态显示 LED数码管的静态显示 四位数码管显示相同的字符 依次显示从0~F的各个字符,每隔1s显示一个字符。 LED数码管静态显示的 芯片级设计框图 1频器(Divider) 1频器(Divider) 16进制计数器 LED数码管显示译码器 模块化的系统设计 三个模块如何组合起来? 它们之间又是通过什么方式连接? LED数码管显示的层次化建模 顶层模块:led8seg 顶层模块:led8seg 顶层模块:led8seg ——结构体 顶层模块:led8seg——结构体 LED数码管显示的VHDL代码 ——综合结果(顶层) LED数码管显示的VHDL代码 ——综合结果(子模块) 二、LED数码管的动态显示 LED数码管的动态显示 四位数码管显示不同的字符,比如“1234” 实现原理: 由于四位数码管器件内部复用了每位数码管的8条数据线(a,b,c,d,e,f,g,dp),所以若要四位数码管显示不同的字符,则一位显示时(占用了段线)其它三位应该不能显示。(通过控制位线实现) LED数码管的动态显示 实现原理: 由于人眼的视觉暂留效应,当循环时间很短时,人眼看到的效果如下图所示: 动态刷新时间: 电视的场频:50Hz,即每20ms更新一幅画面 刷新频率太慢则会有闪烁现象 刷新频率太快则则亮度不够 一般在几ms左右 作业: LED数码管动态显示 四位数码管显示不同的字符,比如“1234” 修改分频器 修改计数器 修改LED数码管显示译码器 LED数码管动态显示的VHDL代码 ——综合结果(顶层) LED数码管显示的VHDL代码 ——综合结果(子模块) * * 1位 2位 3位 4位 位线 段线 四位数码管器件内部复用了每位数码管的8条数据线(a,b,c,d,e,f,g,dp) 若同时将四位数码管的位线(共阴公共端)接到低电平,则相当于同时选中数码管的4个位。 此时若段线输入某个段码,则四位数码管显示段码对应的同一字符。 时钟源 50MHz 分频器 计数器 数码管驱动 四位LED 数码管 FPGA 1频 输出时钟信号的频率是1Hz,周期为1s。 16进制计数器 即有16种状态,每经过1s计数值加“1”,也即跳到下一个状态。 段码译码器 将16种状态码转换为相应的8位二进制LED数码管段码。 entity clkdiv is port( reset: in std_logic; clkin: in std_logic; clkout: buffer std_logic ); end clkdiv; 实体 architecture clkdiv_stru of clkdiv is signal count: integer range 0 to=0; begin process( reset , clkin) begin if reset=‘0’ then count=0; q=‘0’; elsif clkin’event and clkin=‘1’ then if countthen count=0; clkout= not clkout; else count=count+1; end if; end if; end process; end clkdiv_stru; 结构体 entity counter is port( reset: in std_logic; clock: in std_logic; countout: out std

文档评论(0)

peace0308 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档