《数字电子技术基础》(第五版)ch4 组合逻辑电路2.ppt

《数字电子技术基础》(第五版)ch4 组合逻辑电路2.ppt

  1. 1、本文档共43页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
例2 组成8421BCD编码器 用译码器实现多输出组合逻辑函数的步骤 1.写出逻辑函数的最小项和的形式; 2.将逻辑函数的最小项和的表达式变换成与非与非式; 3.画出接线图。 4.如果函数为4变量函数,用3/8线译码器实现,则需先用两片3/8线译码器扩展成4/16线译码器,在此基础上进行以上步骤。 例1 用一片74HC138实现函数 首先将函数式变换为最小项之和的形式 在译码器的输出端加一个与非门,即可实现给定的组合逻辑函数。 * * 4.4 若干典型的组合逻辑集成电路 4.4.1 编码器 4.4.2 译码器/数据分配器 4.4.3 数据选择器 4.4.4 数值比较器 4.4.5 算术运算电路 序 编码器 (Encoder)的概念与分类 编码:赋予二进制代码特定含义的过程称为编码。 如:8421BCD码中,用1000表示数字8 如:ASCII码中,用1000001表示字母A等 编码器:具有编码功能的逻辑电路。 4.4.1 编码器 编码器的逻辑功能: 能将每一个编码输入信号变换为不同的二进制的代码输出。 如8线-3线编码器:将8个输入的信号分别编成 8个3位二进制数码输出。 如BCD编码器:将10个编码输入信号分别编成10个4位码输出。 编码器的分类:普通编码器和优先编码器。 普通编码器:任何时候只允许输入一个有效编码信号,否则输出就会发生混乱。 优先编码器:允许同时输入两个以上的有效编码信号。当同时输入几个有效编码信号时,优先编码器能按预先设定的优先级别,只对其中优先权最高的一个进行编码。 序 编码器 (Encoder)的概念与分类 4.4.1 编码器 二进制编码器的结构框图 普通二进制编码器 1、编码器的工作原理 I 0 I 1 Y n - 1 Y 0 Y 1 1 n 2 - I 二进制 编码器 2 n 个 输入 n 位二进 制码输出 一般而言,N个不同的信号,至少需要n位二进制数编码。N和n之间满足下列关系: 2n≥N (1)4线─2线普通二进制编码器 (设计) 1 0 0 0 0 1 0 0 0 0 1 0 0 0 0 1 Y0 Y1 I3 I2 I1 I0 (b)逻辑功能表 编码器的输入为高电平有效。 (a)逻辑框图 4输入 二进制码输出 1 1 0 1 1 0 0 0 1、编码器的工作原理 考虑:该电路是否可以再简化? (c)逻辑图 当所有的输入都为1时, Y1Y0 = ? Y1Y0 = 00 无法输出有效编码。 结论:普通编码器不能同时输入两个以上的有效编码信号 I2 = I3 = 1, I1= I0= 0 时,Y1Y0 = ? Y1Y0 = 00 存在的问题 (2)优先编码器 优先编码器的提出: 实际应用中,经常有两个或更多输入编码信号同时有效。 必须根据轻重缓急,规定好这些外设允许操作的先后次序,即优先级别。 识别多个编码请求信号的优先级别,并进行相应编码的逻辑部件称为优先编码器。 例 优先编码器线(4─2 线优先编码器)(设计) (1)列出功能表 1 1 1 × × × 0 1 0 1 × × 1 0 0 0 1 × 0 0 0 0 0 1 Y0 Y1 I3 I2 I1 I0 输 出 输 入 高 低 (2)写出逻辑表达式 (3)画出逻辑电路 输入编码信号高电平有效,输出为二进制代码 输入编码信号优先级从高到低为 I0 I3 ~ 输入为编码信号I3 ? I0 ,输出为Y1 Y0 3 3 2 1 I I I Y + = 3 3 2 1 0 I I I I Y + = 存在的问题 当I0I1I2I3=0000时,输出Y0Y1=00;而当I0=1时,输Y0Y1=00,即输入条件不同而输出代码相同,怎么解决这个问题? 3 3 2 1 I I I Y + = 3 3 2 1 0 I I I I Y + = 1 1 1 1 × × × 1 0 1 0 1 × × 1 1 0 0 0 1 × 1 0 0 0 0 0 1 0 0 0 0 0 0 0 GS Y0 Y1 I3 I2 I1 I0 输 出 输 入 画出逻辑电路 优先编码器CD4532的示意框图、引脚图 2.集成电路编码器 4000系列CMOS集成电路优先编码器 8个输入,3个输出,均为高电平有效; 输入优先级次序为I7,I6,…,I0; EI、EO为使能输入和使能输出,便于芯片扩展; GS为优先标志。 CD4532电路图 优先编码器CD4532功能表 L H L L L

您可能关注的文档

文档评论(0)

ligennv1314 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档