24秒倒计时器的设计和制作(停在00).doc

  1. 1、本文档共11页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
. . 电子技术课程设计报告 题 目 24秒倒计时器的设计和制作 专 业 通信工程 班 级 学 号 学生姓名 指导教师 浙江科技学院信息学院 年 月 日 . . 24秒倒计时器的设计和制作 一、计时器概述 1、计时器的特点及应用 24秒倒计时。24秒计数芯片的置数端清零端共用一个开关.比赛开始后.24秒的置数端无效.24秒的倒数计时器的倒数计时器开始进行倒计时.逐秒倒计到零。选取“00”这个状态.通过组合逻辑电路给出截断信号.让该信号与时钟脉冲在与门中将时钟截断.使计时器在计数到零时停住。 2、设计任务及要求 1、用小规模集成电路设计24秒倒计时电路; 2、用555定时器产生1Hz的标准脉冲信号; 3、当???时器显示00.同时报警; 4、计时器应具有清零、启动、暂停/继续计时等控制功能。 二、电路设计原理及单元模块 1、设计原理 24秒计时器的总体参考方案框图如图1所示。它包括秒脉冲发生器、计数器、译码显示电路、报警电路和辅助时序控制电路(简称控制电路)等五个模块组成。 图1—方案框图 其中计数器和控制电路是系统的主要模块。计数器完成24秒计时功能.而控制电路完成计数器的直接清零、启动计数、暂停/连续计数、译码显示电路的显示与灭灯、定时时间到报警等功能。? 秒脉冲发生器产生的信号是电路的时钟脉冲和定时标准.但本设计对此信号要求并不太高.故电路可采用555集成电路或由TTL与非门组成的多谐振荡器构成。? 译码显示电路由74LS48和共阴极七段LED显示器组成。报警电路在实验中可用发光二极管和鸣蜂器代替。???????????????? 2、设计方案 此计时器的设计采用模块化结构.主要由以下3个组成.即计时模块、控制模块、以及译码显示模块。在设计此计时器时.采用模块化的设计思想.使设计起来更加简单、方便、快捷。此电路是一时钟产生.触发.倒计时计数.译码显示、报警为主要功能.在此结构的基础上.构造主体电路和辅助电路两个部分。 3、单元模块 3.1各个元器件功能? 3.1.1、555定时器 图3-1-1 555内部电路框图 555 定时器的内部电路框图如图3-1-1所示。 它内部包括两个电压比较器.三个等值串联电阻.一个 RS 触发器.一个放电管 T 及功率输出级。它提供两个基准电压VCC /3 和 2VCC /3。 555 定时器的功能主要由两个比较器决定。两个比较器的输出电压控制RS 触发器和放电管的状态。在电源与地之间加上电压.当 5 脚悬空时.则电压比较器 C1 的反相输入端的电压为 2VCC /3.C2 的同相输入端的电压为VCC /3。若触发输入端 TR 的电压小于VCC /3.则比较器 C2 的输出为 0.可使 RS 触发器置 1.使输出端 OUT=1。如果阈值输入端 TH 的电压大于 2VCC/3.同时 TR 端的电压大于VCC /3.则 C1 的输出为 0.C2 的输出为 1.可将 RS 触发器置 0.使输出为低电平。表3-1-1 555定时器的功能表 清零端高触发端TH低触发端TRQ放电管T功能0××0导通直接清零101x保持上一状态保持上一状态1101截止置11001截止置11110导通清零 表3-3-1 555定时器的功能表 3.1.2、74LS48 74ls48是7段显示译码器,输出高电平有效的译码器。工作电压为5V.用于驱动共阴极数码管.74ls48除了有实现8段显示译码器基本功能的输入(DCBA)和输出(Ya~Yg)端外.74ls48还引入了灯测试输入端(LT)和动态灭零输入端(RBI).以及既有输入功能又有输出功能的消隐输入/动态灭零输出(BI/RBO)端。 图3-1-2 74LS48引脚图 3.1.3 74LS192 74LS192是同步十进制可逆计数器.它具有双时钟输入.并具有清除和置数 等功能.其引脚排列及逻辑符号如下图所示: 图中:为置数端.为加计数端.为减计数端.为非同步进位输出端.为非同步借位输出

文档评论(0)

xiangxiang + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档