集成电路设计CADEDA工具实用教程8-硬件描述语言的软件仿真与FPGA硬件验证.pptVIP

集成电路设计CADEDA工具实用教程8-硬件描述语言的软件仿真与FPGA硬件验证.ppt

  1. 1、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。。
  2. 2、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  3. 3、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  4. 4、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  5. 5、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  6. 6、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  7. 7、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
sdf annotation sample Add following code in test bench initial begin $sdf_annotate(../source/SVGA_Top.sdf,SVGA_Top_M);// other argument:mtm_spec end ncsdfc -SVGA_Top.sdf.X * * / 61 ncsim * * / 61 ncsim sample echo -cdslib ../lib/cds.lib ../args/ncsim.args echo -hdlvar ../lib/hdl.var ../args/ncsim.args echo -logfile ../log/ncsim.log ../args/ncsim.args echo -messages ../args/ncsim.args echo -update ../args/ncsim.args echo -input ./ncsim.rc ../args/ncsim.args echo SVGA_Lib.T_SVGA_Top:p ../args/ncsim.args echo \t### NC-Verilog is running. Please wait for the result patiently echo \t### May you see the desirable output. God blesses us all ncsim -file ../args/ncsim.args echo finish * * / 61 NC-Verilog summary Prepare cds.lib and hdl.var Modify the script for compiling, elaborating and simulating Get fsdb file for debug Debug with debussy * * / 61 content Coding simulation: modelsim Coding simulation: NC-verilog 用Debussy调试仿真结果 HDL仿真总结 FPGA硬件验证 * * / 61 Debug with debussy 集成Verilog 和HDL 分析和调试的工具 主要部件有: nTrace – 一个独立的层次行的源代码分析和浏览工具。 nSchematic – 一个面向调试的层次性的原理图生成器。 nWave- 一个无比全面的波形分析工具。 nState— 一个功能强大的快速验证有限状态机功能的调试工具。 * * / 61 Debussy 启动 source /opt/demo/debussy.env debussy * * / 61 Debussy structure * * / 61 How to get fsdb file Add following code in test bench initial begin $fsdbDumpfile(../SVGA1.fsdb); $fsdbDumpvars; end Linking debussy and NC-Verilog Refer to :($install_dir)\Debussy\share\PLI\README_PLI.pdf * * / 61 content Coding simulation: modelsim Coding simulation: NC-verilog 用Debussy调试仿真结果 HDL仿真总结 FPGA硬件验证 * * / 61 HDL仿真总结 主要介绍了Modelsim和NC-Verilog两个仿真软件 在整个仿真验证过程中这部分的时间应该是最少 设计者的主要精力应放在如何对设计进行充分验证,在功能不满足时如何定位有问题的代码等问题上 调试过程中的技巧是要设计者在实践中慢慢积累。 另外调试过程中的最基本的技能请参考EDA工具厂商提供的帮助文档。 * * / 61 content Coding simulation: modelsim Coding simulation: NC-verilog 用Debussy调试仿真结果 HDL仿真总结 FPGA 硬件验证 * * / 61 FPGA 基本原理 Xilinx FPGA 组成部分 输入输出单元(IOB) 可编程逻辑单元(CLB) 嵌入式块RAM 布线资源 底层功能模块 内嵌专用硬核 * * / 61 FPGA structure * * /

您可能关注的文档

文档评论(0)

132****9295 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档