电子课程设计_交通灯.doc

  1. 1、本文档共15页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
下载可编辑 .专业.整理. 模拟电子技术课程设计 学 院: 电气与信息工程学院 专业班级: 学生1姓名: 学生1学号: 学生2姓名: 学生2学号: 指导教师: 完成时间: 成 绩: 评阅意见: 评阅意见: 评阅教师 日期 目录 TOC \o 1-3 \h \u 一.设计要求 3 二.设计的作用、目的 3 三.设计的基本实现 3 1.系统概述 3 2.单元电路的设计与分析 4 3.电路的安装与调试 6 四.心得体会、存在的问题和进一步改进 7 存在的问题1: 9 存在的问题2: 9 存在的问题3: 9 五.附录 10 表2 元器件明细表 10 附图2 12 实物图 13 六.参考文献 13 简易交通灯控制逻辑电路设计报告 一.设计要求 (1)东西方向绿灯亮,南北方向红灯亮,时间15s。 (2)东西方向与南北方向黄灯亮,时间5s。 (3) 南北方向绿灯亮,东西方向红灯亮,时间l0s。 (4) 如果发生紧急事件,可以手动控制四个方向红灯全亮。 二.设计的作用、目的 设计一个交通灯控制器,由一条主干道(东西道)和一条支干道(南北道)汇 合成十字路口,在每个入口处设置红、绿、黄三色信号灯,红灯亮禁止通行,绿灯亮允许通行,黄灯亮则给行驶中的车辆有时间停在禁行线外。?? 主、支干道均有车时,两者交替允许通行,主干道每次放行15秒,支干道每次放行10秒,还有5秒的黄灯时间作为过度,让行驶中的车辆停在禁行线以外。 通过对交通灯的设计,加深对555定时器构建电路的理解,掌握基本电路在实际生活中的应用。 三.设计的基本实现 1.系统概述 因为信号灯的工作状态循环不变,故可以才用扭环形计数器将单位时间脉冲按分配来实现,根据要求,可以采用n=6的扭环形计数器。扭环形计数器可以由3个74LS-双D触发器组成。 系统由脉冲信号发生器、定时器、控制器、信号灯显示器五大部分组成。其中脉冲信号发生器用于给各个组成部分提供脉冲信号,通过定时器向控制器发出定时信号,使相应的LED灯发光。控制器根据定时器的信号,进行状态间的转换,使显示器的显示发生相应转变。 2.单元电路的设计与分析 图1 扭环形计数器及其译码电路 译码电路:在状态表1可以得出a=Q5*/Q1,b=Q1,c=/Q5*/Q1,d=/Q5, e=Q5*/Q1,f=Q5*Q1。 根据上述可以画出译码电路,如图1所示。 时钟电路:时钟电路的任务是产生一系列单位时间脉冲。产生脉冲的震荡电路有多种,有RC震荡电路,有CMOS器件,集成放大元件,晶体震荡元件等构成的震荡电路,还有定时元件构成的震荡电路。 这里的单位时间是黄灯点亮的时间,2.5s左右最合适,像这样的低频脉冲,可采用555定时器电路产生,讲定时器莲姐成多谐震荡电路,它的输出是周期性矩形波,其频率与外接元件参数有关,为:f=1.43/[(R1+2R2)C],2.5s的信号频率为0.4Hz,取C=10uF,R1=175KOhm,R2=100K Ohm可满足要求。 表1 扭环形计数器的工作状态 CP /Q6 Q6 Q5 Q4 Q3 Q2 Q1 /Q1 0 1 0 0 0 0 0 0 1 1 1 0 0 0 0 0 1 0 2 1 0 0 0 0 1 1 0 3 1 0 0 0 1 1 1 0 4 1 0 0 1 1 1 1 0 5 1 0 1 1 1 1 1 0 6 0 1 1 1 1 1 1 0 7 0 1 1 1 1 1 0 1 8 0 1 1 1 1 0 0 1 9 0 1 1 1 0 0 0 1 10 0 1 1 0 0 0 0 1 11 0 1 0 0 0 0 0 1 图2 多谐震荡电路 3.电路的安装与调试 技术问题1.面包板的接口相互之间是怎么样的? 通过万用表测量。把万用表打开,旋钮旋到欧姆 。从面包板接口接出两个需要测试的点,用万用表的两根线与其连接,观察示数。如果示数为0或者接近,那么两个点是同一根线上的。反之,就不是同一根线上的。 技术

文档评论(0)

xiangxiang + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档