基于VHDL语言的十进制计数显示设计.doc

  1. 1、本文档共10页,其中可免费阅读4页,需付费100金币后方可阅读剩余内容。
  2. 2、本文档内容版权归属内容提供方,所产生的收益全部归内容提供方所有。如果您对本文有版权争议,可选择认领,认领后既往收益都归您。
  3. 3、本文档由用户上传,本站不保证质量和数量令人满意,可能有诸多瑕疵,付费之前,请仔细先通过免费阅读内容等途径辨别内容交易风险。如存在严重挂羊头卖狗肉之情形,可联系本站下载客服投诉处理。
  4. 4、文档侵权举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
读书报告研究报告考核科目片上可编程系统及技术学生所在院系电气工程及其自动化学院学生姓名学号考核结果阅卷人第页共页语言的十进制计数显示设计基于引言我们熟知的各种数字系统终端设备都需要对于十进制的信息进行数码显示从万用表电压源等等仪器仪表到生活中的各种电气设备其中最常用的显示器件就是和在大规模可编程逻辑器件的硬件基础上根据译码器原理运用语言对十进制计数译码显示电路进行了设计同时通过的软件开发环境对设计电路进行了仿真同时下载到课程所发的实验箱中验证了硬件功能十进制译码器显示的原理计数器是一种中规模的集

(读书报告、研究报告) 考核科目 :SOPC(片上可编程系统)及EDA技术 学生所在院(系):电气工程及其自动化学院 学 生 姓 名 : 学 号 : 考核结果 阅卷人

文档评论(0)

sunhongz + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档