(电子行业企业管理)时数字电子钟.pdfVIP

(电子行业企业管理)时数字电子钟.pdf

  1. 1、本文档共6页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
(电子行业企业管理)时数 字电子钟 嘉应学院电子信息工程系 学生实验报告 实验课程名称: 数字电子技术 实验项目名称: 24 小时数字电子钟的设计 实验时间: 2009 年 5 月 27 日 班级: 电子系073 班 座号: 18 姓名: 陈小清 电子信息工程系编制 2007 年 4 月 18 日 学号 2071022118 : 姓名:陈小清 2009 年 5 月 27 日 24 小时制数字钟【摘要】数字钟是 采用数字电路实现对时,分,秒。数字显示的计时装置,广泛用于 个人家庭,车站,码头办公室等公共场所,成为人们日常生活中不 可少的必需品,由于数字集成电路的发展和石英晶体振荡器的广 泛应用,使得数字钟的精度,运运超过老式钟表,钟表的数字化给 人们生产生活带来了极大的方便而且大大地扩展了钟表原先的 报时功能。因此,研究数字钟及扩大其应用,有着非常现实的意 义。 数字钟计时的标准信号应该是频率相当稳定的 1HZ 秒 脉冲,所以要设置标准时间源。 数字钟计时周期是 24 小时,因此必须设置 24 小时计 数器,应由模为 60 的秒计数器和分计数器及模为 24 的时 计数器组成,秒、分、时由七段数码管显示。 为使数字钟走时与标准时间一致,校时电路是必不可 少的。设计中采用开关控制校时直接用秒脉冲先后对 “时” “分”计数器进行校时操作。 【关键词】数字钟、10HZ 信号源、分频器、译码器、计数器、 校时电路。 一、实验目的: 1 、学习使用数字电路中基本数字逻辑电路时钟发生器及计数、译码 显示等元电路的综合使用 2 、学习数字逻辑电路的综合应用设计及调试方法 二、实验任务: 设计 24 时制数字电子钟: 1 、 显示格式为“时-分-秒”共 6 位数码管, 2 、 “时”、“分”位个数值可独立设置, 3 、 器件为基本数字逻辑电路、时序电路、组合逻辑电路及LED 数 码管,具体型号及逻辑功能不限。10HZ 标准方波信号源 4 、 部分器件参考: 1.计数器:74160 、74162 、74191 、74192 、74290 2.译码器:7447 、7448 、4511 3.其他:7400 、7420 、4009 、7404 、数码管、电阻、电容等 三、电路总设计: 1 、电路组成及原理: 数 码 管 译 码 器 译 码 器 分计数器 2 、实验器材: 校时电路 (1)集成电路:74192 六片、4511 六片。 (2)门电路:7408 两片、。 (3)电源电压+12V 。 (4)数字显示:LED 数码管六片。 四、单元电路设计: 1 、方波信号源; 2 、分频器: 分频器将 CT74LS161 接成 10 进制计数器,这样每过一级频率会变为原来的 1/10 。如图所示, 经过 3 级分频后能得到 1HZ 的秒信号。 3 、计数器: (1)分秒计数器: 将两片 74192 接成 60 进制计数器,分(秒)个位片为 10 进制,十位片为 6 进制。 (2)时计数器: 先将两片 74192 接成 30 进制计数器,分(秒)个位片为 10 进制,十位片为 3 进制。 再用 24 (反馈置0 ,则符合24 进制计数。 4 、译码器与数码管 5 、校时

文档评论(0)

max + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档