毕业答辩_基于fpga的日历时钟的设计.ppt

  1. 1、本文档共15页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
姓 名: * 基于FPGA的日历时钟的设计 指导老师: 封面 学 号: 主要演讲内容 常熟理工物理与电子工程学院电子信息工程季红娟毕业设计PPT 课题主要研究内容 PicoBlaze的介绍 系统验证的结果 总结 系统方案的设计 课题主要研究内容 常熟理工物理与电子工程学院电子信息工程季红娟毕业设计PPT 本课题的主要研究内容是以FPGA为核心的日历时钟设计。其中包括: (1)日历时钟的分频模块,PicoBlaze处理器的应用,显示及显示控制模块块设计以及各个模块之与处理器间的之间的联系。 (2)掌握FPGA系统电路设计方法,学会操作ISE软件来完成FPGA的日历时钟的设计和开发。 (3)LCD显示屏的驱动程序以及接口电路。 PicoBlaze的 介绍 常熟理工物理与电子工程学院电子信息工程季红娟毕业设计PPT PicoBlaze原名KCPSM3,是一个针对Virtex和Spartan3(E)系列FPGA及CoolRunner-II系列CPLD器件设计的8位微控制器嵌入式专用知识产权核(IP Core),它解决了常量编码可编程状态机(KCPSM)的问题,这一模块只占用Spartan3E的96个小区(Slice),占器件XC3S500E不到1%的资源。在这一模块中还包括一个用于存储指令的ROM(由XC3S500E的Block RAM组成),最多可存储1024条指令。PicoBlaze只用了如此少的资源,但其速度却可达到100MIPS(200MHz)以上。它的性能超过了传统独立元器件组成的微处理器,而且成本低,使得PicoBlaze在数据处理和控制算法领域有着广泛的应用前景。由于可编程部分也可以嵌入,PicoBlaze可与子程序和外围电路结合起来完成特殊的设计。 常熟理工物理与电子信息工程学院电子信息工程季红娟毕业设计PPT PicoBlaze的基本框架图 常熟理工物理与电子工程学院电子信息工程季红娟毕业设计PPT 系统整体框架图 日历时钟开发的流程 常熟理工物理与电子信息工程学院电子信息工程季红娟毕业设计PPT 1.编写代码。在文本编辑器中用KCPSM3格式的汇编语言编写用于实现时钟的代码,并保存为control.psm文件(后缀名为psm)。 2.汇编。建立工作目录(如D:\assembler),并将汇编器KCPSM3.exe、ROM_form.v、ROM_form.coe、ROM_form.vhd及KCPSM3格式的汇编程序代码control.psm拷贝到该目录下。在命令行窗口中进入到工作目录并运行如下的命令:KCPSM3 control.psm。汇编成功后会生成control.v、control.vhd等文件。 常熟理工物理与电子信息工程学院电子信息工程季红娟毕业设计PPT 3.编写顶层模块。在Xilinx的ISE集成开发环境中创建工程,并建立顶层应用模块picoblaze_real_time_clock.vhd。将PicoBlaze的源代码KCPSM.vhd及汇编生成的ROM程序control.vhd加入到当前工程,并在顶层模块中实例化KCPSM.vhd及control.vhd。最后在顶层模块中加入少量的粘合逻辑代码即可完成设计。 4.下载调试 日历时钟开发的流程 日历时钟的开发流程 时钟模块的实体可定义为: entity picoblaze_real_time_clock is port ( led : out std_logic_vector(7 downto 0); strataflash_oe : out std_logic; strataflash_ce : out std_logic; strataflash_we : out std_logic; switch : in std_logic_vector(3 downto 0); tn_north : in std_logic; tn_east : in std_logic; tn_south : in std_logic; tn_west : in std_logic; lcd_d : inout std_logic_vector(7 downto 4); lcd_rs : out std_logic; lcd_rw : out std

文档评论(0)

beoes + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档