VHDL程序练习题(含答案)[整理].doc

  1. 1、本文档共36页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
20XX 20XX collection of questions and answerson collection of questions and answers on 试题和答案汇编 试题练习·答案解析 精品资源·实用可编辑 VHDL程序填空题 (一) 在下面横线上填上合适的VHDL关键词,完成2选1多路选择器的设计。 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; 1 MUX21 IS PORT(SEL:IN STD_LOGIC; A,B:IN STD_LOGIC; Q: OUT STD_LOGIC ); END MUX21; 2 BHV OF MUX21 IS BEGIN Q=A WHEN SEL=’1’ ELSE B; END BHV; (二) 在下面横线上填上合适的语句,完成BCD-7段LED显示译码器的设计。 LIBRARY IEEE ; USE IEEE.STD_LOGIC_1164.ALL; ENTITY BCD_7SEG IS PORT( BCD_LED : IN STD_LOGIC_VECTOR(3 DOWNTO 0); LEDSEG : OUT STD_LOGIC_VECTOR(6 DOWNTO 0)); END BCD_7SEG; ARCHITECTURE BEHAVIOR OF BCD_7SEG IS BEGIN PROCESS(BCD_LED) 3 IF BCD_LED=0000 THEN LEDSEG=0111111; ELSIF BCD_LED=0001 THEN LEDSEG=0000110; ELSIF BCD_LED=0010 THEN LEDSEG= 4 ; ELSIF BCD_LED=0011 THEN LEDSEG=1001111; ELSIF BCD_LED=0100 THEN LEDSEG=1100110; ELSIF BCD_LED=0101 THEN LEDSEG=1101101; ELSIF BCD_LED=0110 THEN LEDSEG=1111101; ELSIF BCD_LED=0111 THEN LEDSEG=0000111; ELSIF BCD_LED=1000 THEN LEDSEG=1111111; ELSIF BCD_LED=1001 THEN LEDSEG=1101111; ELSE LEDSEG= 5 ; END IF; END PROCESS; END BEHAVIOR; (三) 在下面横线上填上合适的语句,完成数据选择器的设计。 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY MUX16 IS PORT( D0, D1, D2, D3: IN STD_LOGIC_VECTOR(15 DOWNTO 0); SEL: IN STD_LOGIC_VECTOR( 6 DOWNTO 0); Y: OUT STD_LOGIC_VECTOR(15 DOWNTO 0)); END; ARCHITECTURE ONE OF MUX16 IS BEGIN WITH 7 SELECT Y = D0 WHEN 00, D1 WHEN 01, D2 WHEN 10, D3 WHEN 8 ; END; (四) 在下面横线上填上合适的语句,完成JK触发器的设计。 说明:设计一个异步复位/置位JK触发器,其真值表如下: INPUT OUTPUT PSET CLR CLK J K Q 0 1 X X X 1 1 0 X X X 0 0 0 X X X 不定 1 1 上升沿 0 1 0 1 1 上升沿 1 0 1 1 1 上升沿 1 1 翻转 1 1 上升沿 0 0 保持 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY JKFF1 IS PORT (PSET,CLR,CLK,J,K : IN STD_LOGIC; Q : OUT STD_LOGIC); END JKFF1; ARCHITECTURE MAXPLD OF JKFF1 IS SIGNAL TEMP:STD_LOGIC; BEGIN PROCESS(PSET,CLR,CLK) BEGIN IF (PSET=0AND C

文档评论(0)

蔡老二学教育 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档