FIR滤波器的设计实验报告.doc

  1. 1、本文档共6页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
EDA FIR滤波器的设计实验报告 班级: 学号: 姓名: 指导老师; 2015年 5月 6日 一.实验目的 熟悉Quartus Ⅱ软件的基本使用方法。 熟悉B-ICE-EDA/SOPC或其它EDA实验开发系统的基本使用方法。 学习VHDL基本路基电路的综合设计应用。 二.实验条件 开发软件:Quartus Ⅱ。 拟用芯片:Cyclone lll-EP3C55F484C8 三.实验内容 设计并调试好一个滤波器长度为4的DaubechiesDB4转置FIR滤波器,并用EDA实验开发系统进行硬件验证。 四.实验设计 图1-1实验原理图: QUOTE Z-1 图1-1 转置结构的FIR滤波器 FIR的电路采用VHDL文本输入,有关VHDL程序如下 LIBRARY LPM; USE LPM.LPM_COMPONENTS.ALL; LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY FIR IS GENERIC(W1:INTEGER:=9; W2:INTEGER:=18; W3:INTEGER:=19; W4:INTEGER:=11; L:INTEGER:=4; MPIPE:INTEGER:=3); PORT(CLK:IN STD_LOGIC; LOAD_X:IN STD_LOGIC; X_IN:IN STD_LOGIC_VECTOR(W1-1 DOWNTO 0); C_IN:IN STD_LOGIC_VECTOR(W1-1 DOWNTO 0); Y_OUT:OUT STD_LOGIC_VECTOR(W4-1 DOWNTO 0)); END ENTITY FIR; ARCHITECTURE ART OF FIR IS SUBTYPE N1BIT IS STD_LOGIC_VECTOR(W1-1 DOWNTO 0); SUBTYPE N2BIT IS STD_LOGIC_VECTOR(W2-1 DOWNTO 0); SUBTYPE N3BIT IS STD_LOGIC_VECTOR(W3-1 DOWNTO 0); TYPE ARRAY_N1BIT IS ARRAY (0 TO L-1) OF N1BIT; TYPE ARRAY_N2BIT IS ARRAY (0 TO L-1) OF N2BIT; TYPE ARRAY_N3BIT IS ARRAY (0 TO L-1) OF N3BIT; SIGNAL X:N1BIT; SIGNAL Y:N3BIT; SIGNAL C:ARRAY_N1BIT; SIGNAL P:ARRAY_N2BIT; SIGNAL A:ARRAY_N3BIT; BEGIN LOAD:PROCESS IS BEGIN WAIT UNTIL CLK=1; IF(LOAD_X=0) THEN C(L-1)=C_IN; FOR I IN L-2 DOWNTO 0 LOOP C(I)=C(I+1); END LOOP; ELSE X=X_IN; END IF; END PROCESS LOAD; SOP:PROCESS(CLK) IS BEGIN IF CLKEVENT AND (CLK=1) THEN FOR I IN 0 TO L-2 LOOP A(I)=(P(I)(W2-1)P(I))+A(I+1); END LOOP; A(L-1)=P(L-1)(W2-1)P(L-1); END IF; Y=A(0); END PROCESS SOP; MULGEN:FOR I IN 0 TO L-1 GENERATE MULS:LPM_MULT GENERIC MAP(LPM_WIDTHA=W1,LPM_WIDTHB=W1,

文档评论(0)

chuanmei123 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档