- 1、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。。
- 2、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载。
- 3、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
- 4、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
- 5、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们。
- 6、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
- 7、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
电 子 设 计 自 动 化 大 作 业题 目 数字秒表设计 学 院 控制科学与工程学院 班级 自 动 化 0803 姓 名 学 号 二 OO 一一年五月十二日题 目:数字秒表的设计一、设计要求:(1)数字秒表的计时精度是 10ms; (2)复位开关可以在任何情况下使用,计时在计时过程中,只要按一下复位开关, 计时器就清零,并做好下次计时的准备; (3)具有启/停开关,即按一下启/停开关,启动计时器开始计时,再按一下启/停开关则停止计时。 (4)数字秒表的计时范围是 0 秒~59 分 59.99 秒,显示的最长时间为 59 分 59 秒 二、总体设计:1、总体结构图输 入 信 号通过 3-8 译码器控制 8 位数码管的亮 灭Sel 模块选择时钟 的分 秒和 毫秒输入到 CHOICE 中 控制选择模块输 出的数据通过数据的编码 控制数码管的显 示2、各模块功能1) SEL 模块:将扫描信号输给选择(CHOICE)模块 2)选择模块:按扫描信号的指定选择输出 3)3-8 译码模块:通过 SEL 给的信号来控制 8 位数码管位的亮灭 4)计时模块:分别对毫秒,秒,分计时 5)显示模块:通过 CHOICE 模块的输出信号来控制 三、单元模块设计1、模块名: sel 模块设计模块功能: CLK 为扫描时钟脉冲,SELOUT 端不停的发出扫描到的信号端口定义: CLK 为信号输入端SELOUT[2..0]为选择到的信号输出VHDL 源程序library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity sel is port(clk: in std_logic; selout: out std_logic_vector(2 downto 0)); end sel; architecture one of sel is signal count: std_logic_vector(2 downto 0); begin process(clk) begin if clkevent and clk=1 then if (count=101) then count=000; else count=count+1; end if; end if; end process; selout=count; end one; (4)仿真结果 说明:来一个上升沿,SELOUT 的值增 1,可以证明模块是正确的。 2、模块名:选择模块设计 模块功能: 按扫描信号的指定选择输出 端口定义: a,b,c 为控制信号; data1[3..0], data2[3..0], data3[3..0], data4[3..0], data5[3..0], data6[3..0]分别是毫秒的低位,毫秒的高 位,秒的低位,秒的高位,分的低位,分的高位的数据值; ch_out[3..0]为选择输出端。 VHDL 源程序library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity choice is port (a,b,c:in std_logic; data1,data2,data3,data4,data5,data6:in std_logic_vector(3 downto 0); ch_out:out std_logic_vector( 3 downto 0) ); end choice; architecture behave of choice is signal ch:std_logic_vector(2 downto 0); begin ch(2)=c; ch(1)=b; ch(0)=a; process(ch) begin case ch is when000=ch_out=data1; when001=ch_out=data2; when010=ch_out=data3; when011=ch_out=data4; when100=ch_out=data5; when101=ch_out=data6; when others= null; end case; end process; end behave; (4)仿真结果 说明:abc 的值递增,ch_out 选择输出 data1,data2,data3,data4,data5,data6 的 值,证明模块是正确的 3、模块名: 3-8 译码模
文档评论(0)