eda与vhdl实验-四选一数据选择器.pdfVIP

  1. 1、本文档共4页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
四选一数据选择器 设计与仿真 SpadesQ SUN YAT-SEN UNIVERSITY 1.QuartusII 软件的具体设计步骤 1.1 建立文件夹 在这个文件夹里创建二级文件夹my4s1 存放相应项目、程序文件;在一级文件夹里存放RTL 电路 和仿真波形文件。 1.2 创建工程 通过File => New Project Wizard 为工程指定工作目录、分配工程名称以及指定最高层设计实体的名 称为my4s1 。 1.3 设计输入 通过File => New 菜单命令,在随后弹出的对话框中选择VHDL File 选项,点击OK 按钮。通过 File => Save As 命令,将其保存,并加入到项目中。 在VHDL 界面输入两输入与门程序,然后通过File => Save As 命令保存。 1.4 综合和编译 选择Processing =>Start Compilation,检查程序语法错误,并生成RTL 图。 执行Tools =>Netlist Viewer =>RTL Viewr, 生成RTL 图。 1.5 模拟仿真 在File 菜单下,点击New 命令。在随后弹出的对话框中,选中Vector Waveform File 选项。 进入波形编辑器窗口工具条。指定模拟终止时间。 Edit => Node Finder,点击List 按钮,列出电路所有的端子,全部拉入波形区。 编辑输入激励信号波形. 分别选中a ,b,c ,d ,s1 ,s2 信号,指定为不同的clock ,en 分高低电平测 试。 功能仿真:Processing=>Generate Functional Simulation Netlist,使用命令Processing=>Simulator Tool ,选择fundamatol ,开始仿真。 【注】本设计使用QuartusII 9.1 版本,内有仿真器。 2.程序设计 library IEEE; use IEEE.std_logic_1164.all ; entity my4s1 is port (a: in std_logic; b : in std_logic; c: in std_logic; d: in std_logic; en: in std_logic; s1: in std_logic; s2: in std_logic; y: out std_logic); end my4s1; architecture Behavioral of my4s1 is signal s:std_logic_vector(1 downto 0); signal y1:std_logic; begin process (en,y1) begin if (en= '0')then y<= y1; else y<= '1'; end if ; end process ; s<= s2&s1; y1<= a when s= "00" else b when s= "01" else c when s= "10" else d; end Behavioral; 3.RTL 电路 四选一数据选择器RTL 电路 4.功能仿真 波形图:  en=0 四选一数据

文档评论(0)

zsmfjh + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档