数字后端简要流程教材.pptx

  1. 1、本文档共52页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
数字后端简要流程;综合的定义;综合环境初始化;环境属性;set_operating_conditions用于描述cells操作条件: process、voltage 和temperature。例如:set_operating_conditions -max slow set_wire_load_model用于设置Nets的寄生RC模型,一般选用悲观的模型。例如:set_wire_load_model -name smic18_slow set_load用于定义nets或ports的电容负载,为了保证输出路径的时序,default条件下为0。例如:set_load 0.6 all_outputs();set_drive用于定义模块的input ports,0表示最大的驱动强度,通常用于clock ports和reset,例如:set_drive 0 {clk,rst}。 set_driving_cell???于定义input ports,模拟cell的驱动阻抗,为了保证输入路径的时序和输入信号的transition time。例如: set_driving_cell -lib_cell BUFX2 -pin Y -library slow all_inputs() set_min_library允许用户同时设置worst-case和best-case libraries,从而在初步编译时,DC修正hold-time冲突时,验证setup-time冲突。也可用于在编译时修正hold-time冲突。例如: set_min_library slow.db -min_version fast.db DRC的设计规则约束:set_max_transition、set_max_capacitance和set_max_fanout。这些约束用于的input ports,output ports或current_design,一般在技术库内部设置。当技术库的内部设置不能满足时,可用以上命令设置。例如: ;set_max_transition 0.3 current_design set_max_capacitance 1.5 current_design set_max_fanout 3.0 all_outputs() ;面积和时序约束;set_max_area用于约束current_design的最大面积。0表示在满足时序、功耗的前提下,尽可能的减小面积。例如:set_max_area 0 时序约束包括3个部分:all inputs、register to register和all outputs。 输入路径的约束: set_input_delay:定义信号相对于时钟的到达时间。指一个信号,在时钟沿之后多少时间到达。;例如: set_input_delay -max 20 -clock CLK {get_ports A} set_input_delay -min 0.8 -clock CLK {get_ports A} 输出时序约束: set_output_delay:定义从输出端口数据不可用开始到后一个时钟有效沿的时间。例如: set_output_delay -max 20 -clock CLK {get_ports B} set_output_delay -min -0.5 -clock CLK {get_ports B} ; 时钟描述:时钟的描述在设计中非常关键,一般通过clock period和clock source(port/pin) 、duty cycle、offset/skew和clock name进行描述。 DC时钟约束命令: create_clock:用于定义时钟的周期和波形(占空比及起始沿) 例如: create_clock -period 40 -waveform{0 20} 对于仅包含组合逻辑的模块,为了定义该模块的延时约束,需要创造一个虚拟时钟定义。例如: create_clock -name V_clk -period 20 ;set_clock_transition:在pre_layout必须设置一个固定的transition值(由技术库提供),因为时钟网有很大的fanout.这样可使DC根据该时钟计算实际的延时值。 set_clock_skew:设置时钟的skew及delay,pre_layout和post_layout命令选项不一样。-propagated选项让DC计算时钟的skew。;例如: set_clock_skew -delay 2.5 -minus_uncertainty 2.0 \ -plus_uncertainty

文档评论(0)

文单招、专升本试卷定制 + 关注
官方认证
服务提供商

专注于研究生产单招、专升本试卷,可定制

版权声明书
用户编号:8005017062000015
认证主体莲池区远卓互联网技术工作室
IP属地河北
统一社会信用代码/组织机构代码
92130606MA0G1JGM00

1亿VIP精品文档

相关文档