数字系统的设计与实验实验报告.pdf

  1. 1、本文档共11页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
数 字 系 统 的 设 计 与 实 验 学院: 专业: 班级: 学号: 姓名 指导老师 2013 年 12 月 10 日 实验一 原码反码发生器 一 实验目的: 1、 掌握组合逻辑电路的基本设计方法。 2 、 学习波形仿真的方法。 3 、 加深对最简单的二进制原码、反码的理解,灵活运用基本的逻辑门。 二 实验内容 1、设计的电路应具备以下功能: A.包含如下端口: 一个选择信号端口,一个 8 位二进制输入端口,一个原码 / 反码输出端口。 B . 选择信号的逻辑状态为 0 时输出原码;逻辑状态为 1 时输出反码。 2、完成电路设计。 3、对设计的正确性进行验证。 三 实验要求 1、列出所要实现的功能的真值表。 输入端口 输出端口 cin( 十六进制 ) Fin cout 01H 0 01H 02H 1 FDH 03H 0 03H 04H 1 FBH 05H 0 05H 06H 1 F9H 07H 0 07H 08H 1 F7H 2、画出电路的逻辑图。 3、编写用 VHDL语言描述的源程序。 library ieee; use ieee.std_logic_1164.all; entity shiyan1 is port (cin : in std_logic_vector(7 downto 0); fin : in std_logic; cout: out std_logic_vector(7 downto 0)); end shiyan1; architecture behave of shiyan1 is begin process(fin) begin case fin is when 1 = cout = not cin; when 0 = cout = cin; when others = null; end case; end process; end behave; 4 、 在 MAX软件平台上完成编译和功能仿真。 一

文档评论(0)

二哥 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档