在xilinxise12.2环境下用自带的isim仿真详解.pdf

在xilinxise12.2环境下用自带的isim仿真详解.pdf

  1. 1、本文档共5页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
在 xilinx ise12.2 环境下用自带的 isim 仿真详解 最近项目用到 FPGA,也开始了 FPGA的学习历程, 硬件为红 色飓风的 E45,FPGA是 spartan6 系列的,软件开发环境是 xilinx ise12.2 的版本。 之前一直在做单片机,有一定的 C 基础,对 FPGA的学习也 算快吧,花了一天的时间移植了一个 FPGA的串口程序,测试通 过,收发正常,心里美滋的,在第三天的时候要做一个 SPI 的时 序,由于程序是自己写的,所以对时序没把握,就需要仿真,看 一下波形, 可谁知道这一仿真就是 2 天的时间, 搞得我头疼闹热 的,到处查资料,看网站,弄得我好不痛苦啊,明明很简单的事 情,却花了两天的时间,哎,在此也深感一个人学习的痛苦,也 感到资源的可贵啊, 为了我的两天时间,我决定写下记录, 以此 让跟我一样遇到问题的人能够少花时间。 以下是我从网上收集的在 xilinx ise12.2 环境下用自带的 isim 仿真步骤: /view/fce6e53c0912a21614792981.html 该链接的资料是 OK 的,但是有些小的细节需要说了,同时也我 遇到的问题。 1. 在生成 verilog hex fixture 之后,一定要记得在 Add stimulus here 之后加上你的 动作代码 ,要不然仿真 是不会有动作的,问题很幼稚,但是他花了我 2 个 小时的时间,回头看了好几遍文档在注意到有自己 要添加的东西。 一般的添加格式为: initial begin clk = 1b0; rst = 1b0; #5 clk = 1b1; rst = 1b1; end always #10 clk = ~clk; 2. 对于 isim 的界面,需要用好几个工具。 1 所指的 10us 的选项,一般默认都很小,所以要根据具体 的时间自己修改,小问题,也花了我不少时间 2 所指的功能键是一次运行 10us,很有用的 3 所指的放大器在看波形的很好用 如果仿真出现红线和蓝线,证明仿真失败! 这是我两天以来出现的最多的仿真界面, 到现在才明白如果 信号不是绿色的证明都是有问题的, 仿真是失败的! 之所以会出 现这种问题都是因为自己的设计功底太差。 3.仿真是程序应该注意的问题 . 以下为一段可以正确运行的程序: moduleled_run(iClk,oLed); inputiClk; output [3:0] oLed; reg [3:0] oLed;

文档评论(0)

zxs4123 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档