数字逻辑设计:第6章-Verilog语言简介与FPGA工具介绍.pdf

数字逻辑设计:第6章-Verilog语言简介与FPGA工具介绍.pdf

  1. 1、本文档共107页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
上 课 手机 关了吗? 第六章 Verilog语言简介 与FPGA 工具介绍 本讲内容 • 硬件描述语言Verilog简介; • 现场可编程门阵列FPGA简介; 术语定义(Terms and Definitions) • 硬件描述语言HDL :描述电路硬件及时序的一种编程语言 • 仿真器:读入HDL并进行解释及执行的一种软件 • 抽象级:描述风格的详细程度,如行为级和门级 • ASIC :专用集成电路(Application Specific Integrated Circuit) • ASIC Vender :芯片制造商,开发并提供单元库 • Bottom-up design flow :一种先构建底层单元,然后由底层单元构造更大 的系统的设计方法 • Top-down design flow :一种设计方法,先用高抽象级构造系统,然后再设 计下层单元 Verilog语言简介 什么是硬件描述语言HDL • 具有特殊结构能够对硬件逻辑电路的功能进行描述的 一种高级编程语言 • 这种特殊结构能够: –描述电路的连接 –描述电路的功能 –在不同抽象级上描述电路 –描述电路的时序 –表达具有并行性 • HDL主要有两种:Verilog HDL和VHDL –Verilog起源于C语言,因此非常类似于C语言,容易掌握 –VHDL起源于ADA语言,格式严谨,不易学习 –VHDL出现较晚,但标准化早,IEEE 1706-1985标准 Verilog的历史 • Verilog HDL是在1983年由GDA(GateWay Design Automation)公司的Phil Moorby所创。Phil Moorby后来成为Verilog-XL的主要设计者和Cadence 公司的第一个合伙人。 • 在1984~1985年间,Moorby设计出了第一个Verilog-XL的仿真器。 • 1986年,Moorby提出了用于快速门级仿真的XL算法。 • 1990年,Cadence公司收购了GDA公司。 • 1991年,Cadence公司公开发表Verilog语言,成立了OVI(Open Verilog International)组织来负责Verilog HDL语言的发展。 • 1995年制定了Verilog HDL的IEEE标准,即IEEE1364。 • 2001年推出Verilog-2001标准。 • 2005年推出Verilog-2005标准,即SystemVerilog Verilog的用途 • Verilog的主要应用包括: –ASIC和FPGA工程师编写可综合的RTL代码 –使用高抽象级描述仿真系统,进行系统结构开发 –测试工程师用于编写各种层次的测试程序 –用于ASIC和FPGA单元或更高层次的模块的模型开发 Verilog语法简介 • 基本词法:空白符、注释、常量、字符串、标识符 • 基本语法: - 模块结构 - 端口定义(input 、output 、inout ) - 数据类型(net 、register 、parameter ) - 功能描述(assign语句、always语句) • 基本操作符:算术操作符、逻辑操作符等 module是层次 Verilog语言结构 化设计的基本 构件 module DFF (q, qb, d, clk,

文档评论(0)

cxlwxg + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档