QuartusII软件使用与十进制计数器试验方案.docx

QuartusII软件使用与十进制计数器试验方案.docx

  1. 1、本文档共25页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
试验一 Quartus II 软件使用与十进制计数器 一、试验目的 生疏使用Quartus I软I 件二、试验内容 安装Quartus I软I 件并成功注册、使用Quartus I软I 件建立工程并完成十进制计数器三、试验仪器、设备 计算机、Quartus I软I 件四、试验步骤 翻开安装文件位于…quartusII6.0\disk1\insta,ll根.e据xe提示进展下一步操作,在弹出框中选择第一个选项,install Quartus II and Related S。oftware Quartus I的I 安装步骤 1 接下来的安装中选择下一步,到以下图选择界面。只勾选安装Quartus II 6即.0可。 Quartus I的I 安装步骤 2 完成安装步骤 2 后选择下一步至以下图所示,选择完全安装 complete,再连续点击下一步至安装开头。 完全安装Quartus I软I 件 软件安装途中消灭如以下图所示状况时,选择安装文件中的…disk\2disk2\quart,us然后选择确定——OK 连续安装直到完毕。 安装过程中换disk2路径 Quartus I软I 件的安装至此完毕,此安装步骤WINXP/WIN 7 均有效,WIN8 假设有问题, 请修改兼容权限。 软件注册。软件安装完毕后翻开Quartus I软I 件将消灭以下图所示状况,这是没有注册的提示,我们必需先进展注册才能建立工程文件,假设大家无视了这个提示窗口,直接进展工 程建立等工作,任何仿真图和编译功能都无法正常使用,等于白费功夫铺张时间,还要重 做,格外影响学习兴趣和心情〔。由于软件注册是绑定MAC 物理地址,所以每台机器的license 是完全不一样的,试验室的电脑已经安装好了Quartus I软I 件,但是没有注册,所以做试验 的时候一点要先注册,不然就白做了〕 Quartus I软I 件提示未注册弹窗 注册方法,翻开 quiic7.1 lisen生ce成器文件夹中的 keymaker.ex,e  在弹出的窗口中点击 Make License,在文件夹中就会消灭和本台机器相匹配的license.d。a〔t留意此 license只对应本机,拷贝给其他机器无效〕将 license.da复t制到 C:\altera\quartus60\文wi件n 夹下。〔可以使用快键方式点击Quartus II 6.0右键属性——翻开文件夹位置即是需要复制到的文件夹, 选择替换该文件〕,在自己电脑安装时,还有一个 sys_cpt.dl文l件需要被替换才能完成注册,替换文件的地路径…\quartusII6.0\disk2\disk2\crack6.0\sy,s_这cp一t.步dl在l 试验室电脑无需做,特别是不能复制生成器里面的sys_cpt.d,ll不然软件无法翻开。 license生成器 生成的license 复制 license.d到at目标文件夹并替换 替换 license后翻开上图即为注册成功 到此Quartus I软I 件安装与注册已经介绍完毕,假设安装中还有其他问题请询问相关教师或网上找寻解决方法。 Quartus I软I 件工程建立。任何一项设计都是一项工程〔projec〕t,必需先为此工程建立一个放置与此工程相关的设计文件的文件夹。一般,不同的设计工程放在不同的文件夹中, 而同一工程的全部文件都必需放在同一文件夹中。〔留意:不要将文件夹设在计算机已有的 安装名目中,更不要将工程文件直接放在安装名目中〕 建文件夹,在自定的路径建立文件夹,例如:d:\cnt10〔b 留意:文件夹不能用中文命名,也不要用纯数字〕 选择主页面的File——New ——VHDL File——OK ,如以下图所示 建 VHDL 文件将下面源程序复制进去〔十进制计数〕: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity cnt10b is port( clk,rst,en:in std_logic; cq:out std_logic_vector(3 downto 0); cout:out std_logic); end cnt10b; architecture behav of cnt10b is begin process(clk,rst,en) variable cqi:std_logic_vector(3 downto 0); begin if rst = ”1” then cqi:=(others--计=数”0器”)异; 步复位 elsif clk”event and clk=”1” then if en = ”1” then --检测是否允许计数if

文档评论(0)

夜~紫儿 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档