VWorks调试环境构建全过程.docx

  1. 1、本文档共13页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多

VxWorks调试环境构建全过程

设定VxWorks开发环境如下:

宿主机端(Host):普通PC机一台;Tornado2.0IDE;BSP为x86Pentium3

架构。

目标机(Target):x86Pentium3CPU,网卡为intel8255x系列(标识:fei)。

主机和目标机通过网线连接,制作步骤详述如下(为了图文一致,以下假设目标机BSP文件夹名为:pcPentium):

1.修改配置文件

我们要修改编译VxWorks的配置头文件Config.h中定义的一些参数,使编译出来的系统引导程序和VxWorks的映象符合我们的要求。

定位到目录C:\tornado2.2\target\config\pcPentium并打开该目录下Config.h文件;

查找到定义DEFAULT_BOOT_LINE宏的地方,修改预处理条件CPU

==PENTIUM3分支下的定义如下:

#defineDEFAULT_BOOT_LINE\

fei(0,0)host:vxWorksh=69e=54

u=targetpw=targettn=target

其中:

fei(0,0)指定了使用第0个网卡和第0个处理器,fei这个标识代表intel8255x系列100M网卡,若目标机为intel8255x系列网卡,则标识为gei;

host指定你的主机的名字,使用host就可以;

vxWorks指定了VxWorks映象下载的完整路径(后面会有说明);h=69是宿主机的IP地址,可以根据实际情况修改;e=54是目标机的IP地址,可以根据实际情况修改;u=target指定了FTP服务器的用户名,这个FTP就是用来下载VxWorks映象的;

pw=target是FTP服务器与用户名target对应的密码;tn=target指定目标机的名字,任意指定即可。

指定网卡驱动程序

首先定位到“Networkdriveroptions”这段文字,保证INCLUDE_END和INCLUDE_FEI_END这两个宏处于定义状态(define),其他的宏都处于未定义状态(undef),即:

以下为引用的内容:

以下为引用的内容:

/*Networkdriveroptions*/#defineINCLUDE_END

Support*/

/*EnhancedNetworkDriver

#undef

#undef

INCLUDE_DEC21X40_END

INCLUDE_EL_3C90X_END

/*

/*

(END)

(END)

DEC21x4xPCIinterface*/

3ComFastEtherLinkXLPCI

*/

#undef

INCLUDE_ELT_3C509_END

/*

(END)

3ComEtherLinkIII

interface

*/

#define

INCLUDE_FEI_END

/*(END)Intel8255[7/8/9]PCI

interface

*/

interface*/#undef INCLUDE_ENE_END/*(END)Eagle/NovellNE2000

interface*/

#undef INCLUDE_ENE_END

/*(END)Eagle/NovellNE2000

#undefINCLUDE_GEI8254X_END

interface*/

/*(END)Intel82543/82544PCI

#undef

#undef

*/

INCLUDE_LN_97X_END

INCLUDE_ULTRA_END

/*(END)AMD79C97xPCIinterface*/

/*(END)SMCElite16Ultrainterface

缺省情况下,VxWorks系统是不接受外部输入设备(如键盘)的输入,也不向外部输出设备(如显示器)输出数据。为了便于调试,我们必须改变它的这种缺省状态,包含对PC控制台的支持。查找定位宏INCLUDE_PC_CONSOLE,保证其处于定义状态(define)即可,即:

以下为引用的内容:

以下为引用的内容:

#defineINCLUDE_PC_CONSOLE/*PCkeyboardandVGAconsole*/#ifdefINCLUDE_PC_CONSOLE

#definePC_CONSOLE(0)/*consolenumber*/

#defineN_VIRTUAL_CONSOLES(2)/*shell/application

文档评论(0)

hao187 + 关注
官方认证
内容提供者

该用户很懒,什么也没介绍

认证主体武汉豪锦宏商务信息咨询服务有限公司
IP属地上海
统一社会信用代码/组织机构代码
91420100MA4F3KHG8Q

1亿VIP精品文档

相关文档