Modelsim仿真 1分析和总结.docx

  1. 1、本文档共6页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多

实验一

编写一个测试文件,完成对1位全加器的仿真工程名称:add1_tb

一、 创建文件

`timescale1ns/1nsmoduleadd1_tb;

rega,b;

wirecin,sum;parameterdely=100;add1ul(a,b,sum,cin);initialbegin

a=1b0;b=1b0;

#delya=1b0;b=1b1;#delya=1b0;b=1b1;#delya=1b1;b=1b1;#delya=1b1;b=1b0;#delya=1b1;b=1b1;

endendmodule

二.测试结果

实验二

编写一个测试文件,完成对数据选择器的仿真

一.创建文件

`timescale1ns/1nsmodulemux4_tb;regin0,in1,in2,in3;reg[1:0]sel;

wireout;

parameterdely=100;

mux4_1ul(out,in0,in1,in2,in3,sel);initial

begin

sel=2b00;in0=0;in1=0;in2=0;in3=0;#delysel=2b01;in0=1;in1=1;in2=0;in3=0;#delysel=2b01;in0=1;in1=1;in2=0;in3=0;#delysel=2b10;in0=1;in1=1;in2=0;in3=1;#delysel=2b10;in0=1;in1=1;in2=1;in3=0;#delysel=2b11;in0=1;in1=1;in2=0;in3=1;#delysel=2b11;in0=1;in1=1;in2=0;in3=0;

end

endmodule

二.测试结果

实验三

编写一个测试文件,完成对模60计数器的仿真

一.创建文件

`timescale1ns/1nsmodulem60_tb;

regclk,ld,clr_n;wire[5:0]q;

parameterdelay=1000;integeri;

m60ul(clk,q,clr_n,ld);initial

begin

clk=0;ld=0;clr_n=1;

#(delay/2) clk=0;ld=0;clr_n=0;#(delay/2) clk=1;ld=0;clr_n=0;#delayclk=0;ld=0;clr_n=1;#delayclk=1;ld=0;clr_n=1;#delayclk=0;ld=0;clr_n=1;

#(delay/2) clk=0;ld=1;clr_n=1;#(delay/2) clk=1;ld=1;clr_n=1;#delayclk=0;ld=1;clr_n=1;#delayclk=1;ld=0;clr_n=1;

for(i=0;i400;i=i+1)#delay clk=~clk;

endendmodule

二.测试结果

实验四

模60BCD码计数器的仿真

`timescale1ns/1nsmodulecouner_bcd_tb;

`timescale1ns/1nsmodulecouner_bcd_tb;

regclk,clr_n;wire[3:0]Q_h,Q_l;wirecin_l;parameterdelay=1;integeri;

counter_bcdu1(clk,clr_n,Q_h,Q_l,cin_l);initial

begin

#delayclk=0;clr_n=1;#delayclk=1;clr_n=0;#delayclk=0;clr_n=0;#delayclk=1;clr_n=1;for(i=0;i200;i=i+1)#delayclk=~clk;

end

endmodule

二.波形仿真

实验五

4bit

4bit加减计数器的仿真

`timescale 1ns/1ns

`timescale 1ns/1ns

modulecounter_updown_tb;regclk,clr_n,up_down,ld;reg[3:0]D;

wire[3:0]Q;parameterdelay=100;integeri;

counter_updownu1(clk,Q,clr_n,up_down,ld,D);initial

begin

clk=0;D=0;clr_n=0;up_down=0;ld=0;#delayclk=1;clr_n=1;for(i=0;i200;i=i+1)

#delayclk=~clk;end

endmodule

二.波形仿

文档评论(0)

hao187 + 关注
官方认证
内容提供者

该用户很懒,什么也没介绍

认证主体武汉豪锦宏商务信息咨询服务有限公司
IP属地上海
统一社会信用代码/组织机构代码
91420100MA4F3KHG8Q

1亿VIP精品文档

相关文档