通过Verilog实现交通灯设计实验报告.pdfVIP

通过Verilog实现交通灯设计实验报告.pdf

  1. 1、本文档共11页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多

word格式文档

电子科技大学

一、实验室名称:虚拟仪器实验室

二、实验项目名称:交通灯设计实验

三、实验学时:4学时

四、实验原理

专业整理

word格式文档

假设交通灯处于南北和东西两条大街的“十”字路口,如图1所示。用

FPGA开发板的LED灯来模拟红、黄、绿3种颜色信号,并按一定顺序、

时延来点亮LED,如图2所示。图3给出了交通灯的状态转移图。设计使用

频率为1Hz的时钟来驱动电路(注1:仿真时采用1MHz的时钟来驱动电路),

则停留1个时钟可得到1S的延时,类似停留3个时钟可得到3S的延时,

停留15个时钟可得到15S的延时(注2:开发板工作时钟为50MHz)。

西东

图1.六个彩色LED可以表示一组交通信号灯

状态机的状态南北大街东西大街开发板延时(单位:s)仿真延时(单位:us)

S0红绿1515

S1红黄33

S2红红33

S3绿红1515

S4黄红33

S5红红33

图2.交通灯状态

南北东西

红黄绿红黄绿

S0100001

S1100010

S2100100

S3001100

专业整理

word格式文档

S4010100

S5100100

图3.交通灯的状态转移图

顶层模块

时钟分频模块状态机跳转模块

图4.交通灯的原理框图

文档评论(0)

176****8759 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档