《FPGA系统设计》实验报告》显示译码器电路设计实验.pdf

《FPGA系统设计》实验报告》显示译码器电路设计实验.pdf

  1. 1、本文档共7页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多

《FPGA系统设计》实验报告》显示译码器电路设计实验

一.实验目的

(1)学习七段数码显示译码器设计;

(2)数码管动态扫描电路的设计;

(3)掌握进程PROCESS语句和CASE语句的使用方法;

(4)熟悉VHDL文本输入设计的流程:输入,编译,模拟仿真及程序下载。

二.实验要求

例1七段数码显示译码器的设计

设计分析:七段数码显示电路是纯组合电路,通常为小规模IC。

下面作为七段BCD码译码器,输出信号LED7S的七位分别接数码管的七段,高位在左,低位在右。例

如当LED7S输出为“1101101”时,数码管的七个段:GFEDCBA分别接1101101;接有高电平

的段发亮,数码管显示符号“5”。

共阴极数码管及其电路

注意:这里没有考虑表示小数点的发光管,如果要考虑,需增加段h。

设计该译码器的必须条件,首先是要列出输入码与输出码之间的对应关系,即真值表。

例2数码管扫描显示电路

设计分析:如图3.8所示,是一个8位数码管扫描显示电路,其中每个数码管的8个段:h、g.f、e、

d、c、b、a(h是小数点)都连在一一起,8个数码管分别由8个选通信号k1-k8来选择,被选通的数码

管显示数据。

如果数码管为共阴极数码管,在某个时刻位选信号k3是高电平,其中选通信号为低电平,这时仅k3

对应的数码管显示来自段信号端的数据,其他7个数码管呈现关闭状态。根据这种电路状况,如果希望8

个数码管都可以显示对应数据,可采取k1-k8分别单独选通的方式,与此同时,在段信号输入口加上对应

数码管上显示数据。

八位数码扫描显示电路

本实验中,段选线占用一个8位IO口,位选线借助74LS138占用一个三位IO口控制八位数码管。同

一时刻,某一位的位选线处于选通状态时,其它各位的位选线处于关闭状态,即8位LED是逐个被选通,

只有选通的那一-位显示字符,其它位则是熄灭的,而被

选通数码管的段选线输出是并行的。

若要各位LED显示相同的字符,就必须采用扫描显示方式。某一时刻选通其中的一位,在下一时刻,

只让下一位的位选线处于选通状态,而其他的位选线处于关闭状态。如此循环下去,当显示间隔足够短,

由于人眼有视觉暂留现象,则可造成多位同时亮的假象,达到各位“同时”显示出字符的目的。这种扫描

方式称为数码管动态扫描方式。

例3八位数码扫描显示电路的设计

在例2数码管动态扫描显示电路的基础上,利用三位计数器,对八位数码管进行选通扫描,在八个数

码管上显示数据:13579BDF。

三.实验操作步骤

例1七段数码显示译码器的设计

(1)新建一个VHDL文本文件;

(2)VHDL程序输入

实体名:DECL;输入端口为d,数据类型为stdlogic_vector(3downto0);输出端口为seg,数据类型为

std_logic_vector(6downto0)。用case语句编写七段显示器译码程序,显示从0-F。

(3)对源程序进行语法检查和编译;

(4)管脚锁定;

(5)硬件下载。

代码如下:

LIBRARYIEEE;

USEIEEE.STD_LOGIC_1164.ALL;

ENTITYLEDdisplayIS

PORT(D:INSTD_LOGIC_VECTOR(3DOWNTO0);

SEL:OUTSTD_LOGIC_VECTOR(2DOWNTO0);

SEG:OUTSTD_LOGIC_VECTOR(6DOWNTO0));

ENDLEDdisplay;

ARCHITECTUREONEOFLEDdisplayIS

BEGIN

PROCESS(D)

BEGIN

CASEDIS

WHENOTHERS=NULL;

ENDCASE;

ENDPROCESS;

ENDONE;

例2数码管扫描显示电路

1、新建一个VHDL文本文件;

2、VHDL程序输入,在练习二的基础上对七段数码显示译码器程序稍作改造,

设计出一个能够让8位数码管同时显示同一字符的显示电路。

实体名:SCAN。

3、对源程序进行语

文档评论(0)

186****7569 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档