EDA课程设计汽车尾灯.pdfVIP

  1. 1、本文档共9页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多

JIANGSUUNIVERSITY

课程设计报告

EDA设计课程设计报告

学院:电气信息工程学院

班级:电科1402

学号:3140504043

姓名:宋晓晨

2016年12月

汽车尾灯控制器的设计

1.系统设计要求

假设汽车尾部左右两侧各有3盏指示灯,其控制功能应包括:

(1)汽车尾部左右两侧各有多盏指示灯。

(2)汽车正常行驶时指示灯都不亮。

(3)汽车右转弯时,右侧的一盏指示灯亮。

(4)汽车左转弯时,左侧的一盏指示灯亮。

(5)汽车刹车时,左右两侧的一盏指示灯同时亮。

(6)汽车在夜间行驶时,左右两侧有指示灯同时一直亮,供照明使用。

2.系统设计方案

根据系统设计要求,系统采用自顶向下的设计方法,顶层设计采用原理图设计的方式,它是由时

钟分频模块、汽车尾灯主控模块、左边灯控制模块、右边灯控制模块四部分组成。系统的整体组装实

际原理图和系统框图如下

图2-1整体组装图

图2-2系统框图

系统的输入信号包括:

系统时钟信号:CLK

汽车左转弯控制信号:LEFT

汽车右转弯控制信号:RIGHT

刹车信号:BREAK

夜间行驶信号:NIGHT

系统的输出信号包括:

汽车左侧指示灯:LD1,LD2,LD3

汽车右侧指示灯:RD1,RD2,RD3

系统的工作原理为:当汽车正常行驶时所有指示灯都不亮,当汽车向右转弯时,汽车右侧的指示

灯RD1亮;当汽车向左转弯时,汽车左侧的指示灯LD1亮;当汽车刹车时,汽车两侧的指示灯RD2和

LD2同时亮;当汽车在夜间行驶时,汽车两侧的指示灯RD3和LD3同时亮。

3.源程序及注释

3.1汽车尾灯主控制模块CTRL

LIBRARYIEEE;

USEIEEE.STD_LOGIC_1164.ALL;

ENTITYCTRLIS--文件名与这里一致

PORT(LEFT,RIGHT,BRAKE,NIGHT:INSTD_LOGIC;

LP,RP,LR,BRAKE_LED,NIGHT_LED:OUTSTD_LOGIC);--定义数据出入口

ENDENTITYCTRL;

ARCHITECTUREARTOFCTRLIS

BEGIN

NIGHT_LED=NIGHT;

BRAKE_LED=BRAKE;

PROCESS(LEFT,RIGHT)--敏感信号

VARIABLETEMP:STD_LOGIC_VECTOR(1DOWNTO0);

BEGIN

TEMP:=LEFTRIGHT;--变量的立即赋值

CASETEMPISCASETEMPIS

--直行,都不亮

--右拐,右拐指示灯亮

--左拐,左拐指示灯亮

WHENOTHERS=LP=0;RP=0;LR=1;--刹车,都亮

ENDCASE;

ENDPROCESS;

ENDARC

文档评论(0)

***** + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档