基于FPGA的数字钟设计(VerilogHDL语言实现).pdfVIP

基于FPGA的数字钟设计(VerilogHDL语言实现).pdf

  1. 1、本文档共14页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多

基于FPGA的数字钟设计

摘要:

本设计为一个多功能的数字钟,具有时、分、秒计数显示功能,

以12小时循环计数。

本设计采用EDA技术,以硬件描述语言VerilogHDL为系统逻辑描

述手段设计文件,在QUARTUSII工具软件环境下,采用自顶向下的设

计方法,由各个基本模块共同构建了一个基于FPGA的数字钟。

系统主芯片采用EP1K100QC208-3,由时钟模块、控制模块、计时模块、

数据译码模块、显示以及报时模块组成。经编译和仿真所设计的程序,

在可编程逻辑器件上下载验证,本系统能够完成时、分、秒的分别显示,

由按键输入进行数字钟的清零功能。

关键词:数字钟;硬件描述语言;VerilogHDL;FPGA;

引言:现代社会的标志之一就是信息产品的广泛使用,而且是产品的

性能越来越强,复杂程度越来越高,更新步伐越来越快。支撑信息电子

产品高速发展的基础就是微电子制造工艺水平的提高和电子产品设计

开发技术的发展。前者以微细加工技术为代表,而后者的代表就是电子

设计自动化(electronicdesignautomatic,EDA)技术。

本设计采用的VerilogHDL是一种全方位的硬件描述语言,具有极强的

描述能力,能支持系统行为级、寄存器传输级和逻辑门级三个不同层次

的设计;支持结构、数据流、行为三种描述形式的混合描述、覆盖面广、

抽象能力强,因此在实际应用中越来越广泛。ASIC是专用的系统集成

电路,是一种带有逻辑处理的加速处理器。而FPGA是特殊的ASIC芯

片,与其他的ASIC芯片相比,它具有设计开发周期短、设计制造成本

低、开发工具先进、标准产品无需测试、质量稳定以及可实时在线检测

等优点。

在控制系统中,键盘是常用的人机交换接口,当所设置的功能键或

数字键按下的时候,系统应该完成该键所设置的功能。因此,键信息输

入是与软件结构密切相关的过程。根据键盘的结构不同,采用不同的编

码方法。但无论有无编码以及采用什么样的编码,最后都要转换成为相

应的键值,以实现按键功能程序的转移。

钟表的数字化给人们生产生活带来了极大的方便,而且大大地扩展

了钟表原先的报时功能。诸如定时自动报警、定时启闭电路、定时开关

烘箱、通断动力设备,甚至各种定时电气的自动启用等,所有这些,都

是以钟表数字化为基础的。因此,研究数字钟及扩大其应用,有着非常

现实的意义。

I

正文:

1.设计意义

当今电子产品正向功能多元化,体积最小化,功耗最低化的方向发

展。它与传统的电子产品在设计上的显著区别师大量使用大规模可编程

逻辑器件,使产品的性能提高,体积缩小,功耗降低.同时广泛运用现

代计算机技术,提高产品的自动化程度和竞争力,缩短研发周期。EDA

技术正是为了适应现代电子技术的要求,吸收众多学科最新科技成果而

形成的一门新技术。

美国ALTERA公司的可编程逻辑器件采用全新的结构和先进的技术,

加上QUARTUSII开发环境,更具有高性能,开发周期短等特点,十分

方便进行电子产品的开发和设计。

EDA技术,技术以大规模可编程逻辑器件为设计载体,以硬件描述

语言为系统逻辑描述主要表达方式,以计算机、大规模可编程逻辑器件

的开发软件及实验开发系统为设计工具,通过有关的开发软件,自动完

成用软件的方式设计的电子系统到硬件系统的逻辑编译,逻辑化简,逻

辑分割,逻辑映射,编程下载等工作。最终形成集成电子系统或专用集

成芯片的一门新技术。

本设计利用VerilogHDL硬件描述语言结合可编程逻辑器件进行的,

并通过数码管动态显示计时结果。数字钟可以由各种技术实现,如单片

机等.利用可编程逻辑器件具有其他方式没有的特点,它具有易学,方

便,新颖,有趣,直观,设计与实验项目成功率高,理论与实践结合紧

密,体积小,容量大,I/O口丰富,易编程和加密等特点,并且它还具

有开放的界面,丰富的设计库,模块化的工具以及LPM定制等优良性能,

应用非常方便。

本设计主要研究基于FPGA的数字钟,要求时间以12小时为一个周

期,显示时、分、秒。具有清零功能。

本设计小组成员共有三人:其他两人分别采用原理图设计和

VerilogHDL语言设计。

文档评论(0)

152****9773 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档