EDA数字钟的设计实验报告.pdfVIP

  1. 1、本文档共11页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多

成绩指导教师日期

五邑大学实验报告

实验课程名称:

EDA实验

院系名称:信息工程学院

专业名称:通信工程(物联网)

(一)实验目的:

设计并实现具有一定功能的数字钟。掌握各类计数器及它们相连的设计方法,掌

握多个数码管显示的原理与方法,掌握FPGA的层次化设计方法,掌握VHDL语言

的设计思想以及整个数字系统的设计。此数字钟具有时,分,秒计数显示功能,

能实现清零,调节小时,分钟以及整点报时的功能。

(二)实验器材:

计算机一台,EDA实验箱一台。

(三)实验原理:

四)实验内容:

1.正常的时、分、秒计时功能,分别由6个数码管显示24小时、60分钟,60

秒钟的计数器显示。

2.按键实现“校时”“校分”功能;

3.用扬声器做整点报时。当计时到达59’50”时鸣叫。

方案:利用试验箱上的七段码译码器(模式7),采用静态显示,系统时钟选择

1Hz。整个系统可以是若干文件组成,用PORTMAP实现的方式;也可以是一

个文件用多进程方式实现;亦或者是用文本和图形混合的方式实现;亦或者是用

LPM参数化模块实现。

(五)实验步骤:

1.新建一个文件夹,命名为shuzizhong.

2.输入源程序。打开QuartusⅡ,选择File→new命令。在New窗口中的

DesignFiles栏选择编译文件-的语言类型,这里选择VHDLFile选项。然后在

VHDL文本编译窗口中输入秒模块程序。

秒模块源程序如下:

libraryieee;

useieee.std_logic_1164.all;

useieee.std_logic_unsigned.all;

entitySECONDis

port(clk,clr:instd_logic;时钟/清零信号

sec1,sec0:outstd_logic_vector(3downto0);秒高位/低

co:outstd_logic);输出/进位信号

endSECOND;

architectureSECofSECONDis

begin

process(clk,clr)

variablecnt1,cnt0:std_logic_vector(3downto0);计数

begin

ifclr=1then当ckr为1时,高低位均为000

elsifclkeventandclk=1then

ififandandthen当记数为58(实际是经过59

个记时脉冲)

co=1;进位

低位为9

elsifelsifthen小于9时

cnt0:=cnt0+1;计数

elseelse

ififthen高位小于5时

cnt1:=cnt1+1;

elseelse

co=0;

endif;

endif;

endif;

sec1=cnt1;

sec0=cnt0;

endprocess;

endSEC;

3.文件存盘。选择File→SaveAs命令,找到已经设立的文件夹,存盘文件名

应与实体名一致。

4.创建工程。打开并建立新工程管理窗口,选择File→New

文档评论(0)

139****9894 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档