EDA技术及应用实验报告参考答案.pdf

  1. 1、本文档共21页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多

EDA技术及应用实验报告参考答案--第1页

《EDA技术及应用》

实验报告

系部:电子通信工程系

指导教师:

学号:

班级:

姓名:

1/21

EDA技术及应用实验报告参考答案--第1页

EDA技术及应用实验报告参考答案--第2页

实验一点亮LED设计

一、实验目的

通过此试验可以让大家逐步了解,熟悉和掌握FPGA开发软件QuartusII的使用

方法及VerilogHDL的编程方法。

二、实验内容

本实验是一个简单的点亮LED灯的实验,具体包括:

1)使用QuartusII建立一个工程;

2)QuartusII工程设计;

3)设置编译选项并编译硬件系统;

4)下载硬件设计到目标FPGA;

5)观察LED的状态;

三、实验原理

和单片机一样,向片子里写进数据,输出高电平(对于共阴极的),

或者输出低电平(对于共阳极)。根据Cyclone片子已经分配好的针

脚设置好针脚。

四、实验步骤

建立一个工程—输入程序—软件编译—生成下载文件—下载—调试

五、实验程序

moduleled1(led);//模块名led1

output[7:0]led;//定义输出端口

reg[7:0]led;//定义寄存器

always//过程1

begin

led=8//输出0xAA

2/21

EDA技术及应用实验报告参考答案--第2页

EDA技术及应用实验报告参考答案--第3页

end

endmodule

六、思考题

(1)写出使用QuartusII软件开发工程的完整的流程。

建立一个工程—输入程序—软件编译综合—生成下载文件—

下载—硬件调试

实验二流水灯实验

一、实验目的

通过此试验让大家进一步了解熟悉和掌握FPGA开发软件的使用方法

及软件编程方法。学习简单的时序电路的设计和硬件测试。

二、实验内容

本实验的内容是建立用于控制LED流水灯的简单硬件电路,要求在

SmartSOPC上实现LED1-LED8发光二极管流水灯的显示。

三、实验原理

这里的显示用分频模块(int_div)得到的较低的始终作为信号,在此

文档评论(0)

150****3559 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档