基于FPGA的贪吃蛇游戏设计.pdf

  1. 1、本文档共8页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多

基于FPGA的贪吃蛇游戏设计--第1页

基于FPGA的贪吃蛇游戏

一、项目简介

贪吃蛇游戏是一款比较古老且流行的游戏,该项目做的是一款以FPGA

实验板为控制端,显示器为终端的贪吃蛇游戏。涉及到的关键技术是:配置

生成nios核,了解VGA格式,贪吃蛇游戏软件设计。

二、整体设计思路

整体思路是基于DE2实验板,用QuartusII自带的nios核做一个32位的

CPU,载入程序后就可以通过DE2板上的4个按键和显示器来玩贪吃蛇的游

戏了。同时在实验板的LCD上显示玩家所得的分数。

由于使用的是VGA格式传送图象信号,所以显示器要求支持VGA格式。

FPGA使用的是Altera大学计划的DE2板子。同时板上提供了丰富的输入输

出设备。该项目使用到的资源有:4个按键,外扩的SRAM,Flash,VGA

模块,LCD显示模块。完全满足项目的设计要求。

三、硬件说明

硬件以实验板为基础设计。以下原理图中的悬空引脚网络号都是连向

CycloneII2C35(CycloneIV4CE1155)芯片的。整个系统的硬件主要由电源模

块,CPU及存储器,按键模块,LCD模块,VGA模块,下载调试模块组成。

下面将依次介绍。

系统硬件框图如下:

首先介绍实验板上自带的50M晶振。它作为基准时钟源,然后通过数字

逻辑电路内部分频至不同的频率作为不同模块的时钟源。

50M晶振电路原理图如下:

基于FPGA的贪吃蛇游戏设计--第1页

基于FPGA的贪吃蛇游戏设计--第2页

虽然可以在FPGA内部就开辟出RAM和ROM区。但一般应用都不这样

做,而是采用外部专用芯片。一是价格原因,二是FPGA的SOPC应用一般

规模很大,内部开辟的RAM区大小难以达到设计要求,而内部ROM根本

就没有掉电后依然能储存数据的这个特性。所以使用外接SRAM和FLASH

是必要且必须的。

SRAM芯片网络连接原理图如下:

FLASH芯片网络连接原理图如下:

基于FPGA的贪吃蛇游戏设计--第2页

基于FPGA的贪吃蛇游戏设计--第3页

按键部分是通过施密特触发器来进行硬件按键消抖动的。这样编写软件

时就不用考虑按键消抖,简化了程序。

按钮按键和电平按键电路原理图如下:

LCD用于显示一些必要的系统提示。也方便在无显示器时的调试。

基于FPGA的贪吃蛇游戏设计--第3页

基于FPGA的贪吃蛇游戏设计--第4页

LCD部分电路原理图如下:

VGA用于传送图象信号到显示器。它分离传送RGB三原色信号,还有

行场同步信号。从而保证了信号间无串扰,有很好的显示效果。DE2的实验

板使用的VGA输出芯片是ADV7123,具有每通道10位数字信号的高速视

频芯片。最高可支持1600x1200,100Hz的画面输出。

VGA的时序图如下:

VGA模块的电路原理图如下:

基于FPGA的贪吃蛇游戏设计--第4页

基于FPGA的贪吃蛇游戏设计--第5页

四、CPU设计说明

FPGA的特点就是可根据需求编程,实际上就是芯片级的数字电路设计。

Altera公司的软件Quartus提供了一个免费的n

文档评论(0)

130****0303 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档