2、VHDL设计基础.pptVIP

  1. 1、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。。
  2. 2、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  3. 3、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  4. 4、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  5. 5、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  6. 6、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  7. 7、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
第2节;主要内容; 所谓“无规矩不成方圆”,例如大家学习的C语言,也有一定的结构和规则。如果把程序比喻人,那么程序的结构相当于人的肢体构架一样,有脑袋、手、脚等等,而每一个部分有自己独特的作用,又相互联系。;程序例1:;程序例2:;程序例3:;小归纳:;用的是国际标准IEEE。VHDL有过两个标准: IEEE Std 1076-1987 (called VHDL 1987) IEEE Std 1076-1993 (called VHDL 1993); Library是用于存放预先编译好的程序包。 程序包中定义了基本的常数、数据类型、元件及子程序等。作用是为了声明在实体和结构体定义中将用到的数据类型、元件或子程序等。 声明格式: Library 库名; Use 库名. PACKAGE名.All;;1)Library (库文件)和程序包例子; 作用: entity(实体)是用来定义电路的端口和端口的信号属性。 定义格式: Entity 实体名 is Port(a : in std_logic; b : in std_logic; c : out std_logic_vector(0 to 3)); End 实体名;;2)entity(实体)例子;输入(in) 输出(out) 输入输出(inout) 缓冲(buffer);数据类型的转换:;标识符:如常量、变量、信号、端口、子程序或参数的名字,文件的名字,工程的名字等。 取名规则: 1、由字母、数字以及下划线组成; 2、必须以字母开头,不分大小写; 3、不能用下划线结尾,下划线不能连用; 4、不能用VHDL的保留字即程序本身带有的关键字,也就是在程序中会变蓝色的字;;请判断标识符的正确性;请练习编写下列语句;entity yingdian is Port(clk,clr,enb:in std_logic; count,count1:out std_logic; Q:out std_logic_vector(7 downto 0) );;请练习画出原理图元件;答案;3) Architecture(结构体)定义区;结 构 体;直接赋值语句;用符号、逻辑来运行 顺序语句;用if、case等语句描述 并行语句;用when--else等语句描述;赋值运算符;逻辑操作符;例如1:;例如2:VHDL描述;例如2:VHDL描述;说明语句包含:常数(Constant)、 信号(Signal) 、变量(Variable)。 Constant C : integer; Signal A : std_logic; Variable B : std_logic_vector(7 downto 0); ;判别分析: P184习题5-15的程序错误;练习2:;练习3:;练习4:;直接赋值语句;用符号、逻辑来运行 顺序语句;用if、case等语句描述 并行语句;用when--else等语句描述;顺序语句:;[进程的名字:] Process ( 敏感表 ) [变量说明] --变量声明 Begin if - else语句 、 case 语句等单用 或各种语句混合使用 ; 或各种语句套用 ; End Process;; if 语句;1)如果yue是1月份,那么输出q为11;;3)如果yue是1月份,如果hao为5,那么输出q为11; 如果yue是1月份,如果hao不是5时,q为22; 如果yue是2,那么输出q为33;;case 条件名 Is when 条件值1 =执行语句; when 条件值2 =执行语句; …… when others =执行语句; end case;;case语句的例子; Loop能使程序进行有规则的循环,循环次数受控制。有一下几种形式: For-loop语句 while-loop语句 exit-loop语句 next-loop语句;A=11;loop语句的例子;分析题型1:如果din=1110,count=?;直接赋值语句;用符号、逻辑来运行 顺序语句;用if、case等语句描述 并行语句;用when--else等语句描述;格式:;例如:;顺序语句: If 、 case 、loop、wait until ;如果要表达非输入输出,可采用信号signal或变量variable来“介绍”,它们的区别在于: 1

文档评论(0)

血玲珑 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档