- 1、本文档共26页,可阅读全部内容。
- 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
- 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载。
- 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
吉林大学CPLD实践
实习报告
学 院:仪器科学与电气工程
专 业:测控技术与仪器
年 级:
姓 名:
学 号:
时 间:2010-09-17——2010-09-18
实验台号:No.1
第一部分:基本实验
实验一:七段译码器显示
一、实验目的:
1、 学习基于VHDL 语言设计组合逻辑。
2、 学习VHDL 语言的编程规范,初步养成良好的编程习惯。
二、实验要求:
基于VHDL 语言设计实现设计一个 7 段数码管显示译码器,并用4 位拨码开关和数码管验证其功能。
二、实验仪器设备:
微机一台(Windows XP 系统、安装QuartusⅡ等相关软件)、CPLD 学习板一块、5V 电源线一个、下载线一条。
四、设计内容:
1、硬件连接图
为了共用外围器件,可以采用4 位拨码开关和1 个4 位共阳数码管
来验证设计,硬件连线图如下。
2、实验过程:
(1) 程序设计:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity exam1 is
port(ip:in std_logic_vector(3 downto 0);
op:out std_logic_vector(7 downto 0));
end exam1;
architecture a of exam1 is
begin
process(ip)
begin
case ip is
when0000=op
when0001=op
when0010=op
when0011=op
when0100=op
when0101=op
when0110=op
when0111=op
when1000=op
when1001=op
when1010=op
when1011=op
when1100=op
when1101=op
when1110=op
when1111=op
when others=op
end case;
end process;
end a;
(2) 编译
对文件seg7.vhd 进行编译综合及管脚分配后,执行一次全编译。
(3) 仿真
使用QuartusⅡ对其进行仿真。
(4)下载调试
利用 QuartusⅡ的Programmer将编译好的pof文件下载到EPM240当中。下载完成后,改变4位拨码开关的输入状态,观察数码管显示情况是否符合设计要求。如不符合,重复以上步骤。
五、实验结果阐述:
本实验要求达到结果是让8421BCD码能够转化为相应的十进制码输出,经过实验,最后的调试结果如下:
输入BCD码 数码管显示 0 0 0 0 0 0 0 0 0 1 0 0 1 0 2 0 0 1 1 3 0 1 0 0 4 0 1 0 1 5 0 1 1 0 6 0 1 1 1 7 1 0 0 0 8 1 0 0 1 9 通过上述的情况观察,达到本次实验的要求,实验成功。
六、课后是考题:
若数码管为共阴极,设计代码应如何改动?
答:若数码管改为了共阴极,应该把赋值代码的0、1调换或者在前面整体取反。
实验二:8421BCD 码加法器
一、实验目的:
1、 学习基于VHDL 语言设计组合逻辑。
2、 学习VHDL 语言的编程规范,初步养成良好的编程习惯。
二、设计要求:
8421BCD 码加法器与一般二进制加法器的运算规则一样,不同的是,需要对
相加以后的结果进行变换,保证相加之后的结果仍然为8421BCD 码。实现可以分两步完成:首先将两个BCD 码按照二进制相加,然后将得到的二进制数转换为8421BCD 码。利用用VHDL语言设计实现一个8421BCD 码加法器,并设计硬件电路进行验证结果的正确性,要求结果用数码管显示出来
您可能关注的文档
- 毕业设计:基于PLC的电梯系统控制.doc
- 毕业设计:基于单片机控制的音乐播放器的毕业设计.doc
- 毕业设计:基于AVR单片机心电图仪的设计.doc
- 毕业设计:基于sopc数字密码锁设计论文.doc
- 毕业设计:基于EDA的出租车计费控制器的设计.doc
- 毕业设计:基于EDA的计费控制器.doc
- 毕业设计:基于MATLAB的系统的时域响应分析.doc
- 毕业设计:基于CPLD的三位数字周期测试仪设计.doc
- 毕业设计:数字课程设计题目的状态机参考状态图.doc
- 毕业设计:基于单片机的交通灯设计.doc
- 2024-2025学年安徽省亳州市涡阳县高二下学期2月开学考试政治试题(解析版).docx
- 2024-2025学年江西省赣州市上犹县高二下学期开学考试政治试题(解析版).docx
- 2024-2025学年山东省济宁市高二下学期开学考试政治试题(解析版).docx
- 2024-2025学年山西省卓越联盟高三下学期2月开学质量检测政治试题(解析版).docx
- 2024-2025学年新疆乌鲁木齐市高二下学期2月开学考试政治试题(解析版).docx
- 2024-2025学年新疆维吾尔自治区乌鲁木齐地区高三2月大联考文科综合政治试题(解析版).docx
- 2024-2025学年安徽省蚌埠市固镇县高一上学期1月期末考试政治试题 (解析版).docx
- 2024-2025学年江苏省扬州市高三上学期期末检测政治试卷(解析版).docx
- 2024-2025学年江西省吉安市高三上学期期末教学质量检测政治试题(解析版).docx
- 2024-2025学年山东省济宁市高二上学期1月期末考试政治试题(解析版).docx
文档评论(0)