点阵显示控制器综合实验报告.docxVIP

  1. 1、本文档共26页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
点阵显示控制器综合实验报告 设计课题的任务要求 1.使用8×8点阵做一个基本矩阵,设计扫描控制电路,使光点从左上角像素点开始扫描,终止于右下角像素点,然后周而复始地重复下去,扫过一帧所需时间为16秒; 用8×8点阵显示字符,每次显示1个字符,至少显示4个字符,每秒切换一个字符; 2.用1个8×8点阵显示一幅活动图象或多个字符滚动显示; 3.以上三种功能可以手动或自动转换; 4.选做:自拟其它功能。 系统设计 设计思路 设计顶层文件dianzhen,包含scan、display、trundle、flash、keyin、fenpin六个子程序。将scan、display、trundle、flash分别设为s0、s1、s2、s3状态,分别设计输入s、t、d、f手动转换。用输出col控制列,低电平有效,用row控制行,高电平有效。 实验板提供的是50MHz的频率,设计一个分频模块得到1MHz的频率。 逐点扫描(scan)要求扫完8*8点阵总共16秒,设计一个24位计数器initialize[0]~initialize[23],选第18位到第20位为低位控制,第21位到第23位为高位控制,这样当输入1MHz的时钟脉冲,当第18到第23位都累加成“1”时,即initialize=”11111100000000000000000”时,正好为16.515072秒,满足设计要求。 显示字符(display)“0”到“7”时,要显示8个字符总共需要8秒,因此设计一个23位计数器initialize[0]~initialize[22],选第2位到第0位为低位控制,第22位到第20位为高位控制,这样当输入1MHz的时钟脉冲,当第2到第0位和第22位到第20位都累加成“1”时,即initialize=”1110000000000000000111”时,为7.340039秒,满足设计要求。 滚动显示字符(trundle)“BYR”时,4秒显示16帧图像,利用人眼视觉暂缓特性可以形成动画效果。设计一22位计数器initialize[0]~initialize[21],选第2位到第0位为低位控制,第21位到第18位为高位控制,这样当输入1MHz的时钟脉冲,当第2到第0位和第21位到第18位都累加成“1”时,即initialize=”111000000000000000111”时,为3.932167秒,满足设计要求。 显示矩形动画(flash)时,4秒显示7帧图像,利用人眼视觉暂缓特性可以形成动画效果。设计一19位计数器initialize[0]~initialize[21],第0位为低位控制,第18位到第17位为高位控制,这样当输入1MHz的时钟脉冲,当第0位和第18位到第17位都累加成“1”时,即initialize=”110000000000000001”时,为3.93217秒,满足设计要求。 总体框图 分块设计 状态转移图 流程图 仿真波形及波形分析 s=1时逐点扫描波形:从左上角第一个点一直扫到右下角最后一个点 d=1时显示字符波形:显示“0”到“7”8个字符,每秒一个 t=1时滚动显示字符:滚动显示”BYR” f=1时动画显示:矩形动画 源程序 1.顶层文件 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_signed.all; entity dianzhen is port( clk,reset:in std_logic; row,col:out std_logic_vector(7 downto 0); s,d,t,f:in std_logic); end dianzhen; architecture m of dianzhen is type states is(s0,s1,s2,s3); component fenpin port( clk0:in std_logic; clk_out:out std_logic); end component; component scan port( clk2,reset2:in std_logic; row2:out std_logic_vector(7 downto 0); col2:out std_logic_vector(7 downto 0)); end component; component display

文档评论(0)

***** + 关注
实名认证
文档贡献者

本账号下所有文档分享可拿50%收益 欢迎分享

1亿VIP精品文档

相关文档