单片机仿真学习课件.pptVIP

  1. 1、本文档共21页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
单片机仿真学习课件.ppt

目 录 第一章 I/O接口应用 第二章 外部中断应用 第三章 定时器/计数器应用 第四章 串口通讯应用 第五章 综合实验 第一章 I/O接口应用 1.1 单个LED灯闪烁程序 1.2 流水灯程序 1.3 单个数码管显示程序 1.4 数码管动态显示程序 1.5 独立按键程序 1.6 矩阵键盘程序 1.1 单个LED灯闪烁程序 程序功能 单个LED闪烁。LED灯点亮,延时1秒,LED灯熄灭,延时1秒,如此反复。延时程序为软延时。 硬件电路 软件程序 运行效果 1.2 流水灯程序 程序功能 8个LED灯循环点亮,每个LED灯点亮时间为500ms。点亮完8个LED灯后,重复点亮过程。延时程序为软延时。 硬件电路 软件程序 运行效果 1.3 单个数码管显示程序 程序功能 单个7段LED数码管显示。显示数字0至9,显示完重复显示,每间隔1S加1。延时程序为软延时。 硬件电路 软件程序 运行效果 1.4 数码管动态显示程序 程序功能 8个LED数码管动态显示,显示内容为0至7。延时程序为软延时。 硬件电路 软件程序 运行效果 1.5 独立按键程序 程序功能 一个按键控制LED灯状态。每次按键按下,LED灯状态改变。 硬件电路 软件程序 运行效果 1.6 矩阵键盘程序 程序功能 矩阵键盘,每按下一个按键,松开后后数码管显示按键上对应的字符。 硬件电路 软件程序 运行效果 第二章 外部中断应用 2.1 独立按键(INT0)程序 2.1 独立按键(INT0)程序 程序功能 按键(INT0)按下,改变LED灯状态并计数,数字在数码管上显示。 硬件电路 软件程序 运行效果 第三章 定时器/计数器应用 3.1 定时器应用程序 3.2 外部脉冲计数程序 3.1 定时器应用程序 程序功能 LED显示时间。xx-xx-xx对应 时-分-秒。 硬件电路 软件程序 运行效果 3.2 外部脉冲计数程序 程序功能 P3.7端口输出一固定频率的方波,数码管高5位显示方波数量。低三为显示方波频率。 硬件电路 软件程序 运行效果 第四章 串口通讯应用 4.1 串口接收程序 4.2 串口发送程序 4.3 综合实验 4.1 串口接收程序 程序功能 接收串口发下来的数字(0-9),并在数码管上显示。非数字则显示“-”。总共能显示8个数据。最右边显示最后接收的数据。 硬件电路 软件程序 运行效果 4.2 串口发送程序 程序功能 按键按下,串口发送数字(0-9),同时数字在数码管上显示。 硬件电路 软件程序 运行效果 4.3 综合实验 程序功能 接收串口发下来的数字(0-9),并在数码管上显示。非数字则显示“-”。按键按下, 数字(0-9)从串口发出,并在数码管上显示。 硬件电路 软件程序 运行效果 第五章 综合实验 5.1 花样流水灯程序 5.2 时钟芯片应用程序 5.3 LCD1602显示程序 5.1 花样流水灯程序 程序功能 含四个按键,复位,方式加,速度加,速度减。8个LED灯组成流水灯。 硬件电路 软件程序 运行效果 5.2 时钟芯片应用程序 程序功能 硬件电路 软件程序 运行效果 5.3 LCD1602显示程序 程序功能 LCD1602第一行显示0123456789ABCD EF,第二行反向显示。 硬件电路 软件程序 运行效果 * * *

文档评论(0)

000 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档