《SOPC__实验五_按键中断实验n》.doc

  1. 1、本文档共7页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
《SOPC__实验五_按键中断实验n》.doc

实验五 按键中断实验 一、实验目的 1、继续练习使用SOPC Builder进行硬件构建,熟悉硬件开发流程; 2、继续熟悉基于NiosII IDE的NiosII软件开发过程; 3、掌握GPIO中断功能的使用及其编程方法; 二、实验原理 1、硬件系统的构建:参见教材6.1节; 2、软件工程的创建和编程:参见6.8; 3、硬件系统图。 三、设计任务和要求 1、把DE2-70上的4个轻触键通过GPIO输入到NiosII,设置GPIO的中断方式为下沿触发,即按下任意一个轻触键时产生中断; 2、中断程序读取当前四个按键的键值,并通过JTAG UART发往NiosII Console显示。 四、设计提示 把GPIO设置为输入,并设置中断方式为下沿触发,参见附件。 五、思考题 1、在边沿触发中断的设置下,按键电路是否要消除抖动?DE2-70的按键是否有抖动消除电路? 2、IORD_ALTERA_AVALON_PIO_DATA(base)与 IORD_ALTERA_AVALON_PIO_EDGE_CAP(base)有何区别? 附件1:Nios硬件系统的构建 0、 启动QII软件,设置license;打开原理图输入界面,添加输入引脚clk, reset_n,和key_in[3..0],保存文件为nios_ex_keyin,保存在工程文件夹中,如e:\tmp\nios_ex_keyin;创建硬件工程,名字为nios_ex_keyin; 打开SOPC Builder,给要构建的Nios系统起名字,如nios_keyin;指定外部输入时钟为clk,频率50MHz; 添加memory部件,memory type指定为ram,total memory size可指定为30KB,其它用缺省设定; 添加Nios processor,第一页的设定为:选用NiosII/S处理器,reset vector memory和exception vector memory设定为步骤2添加的memory;其它用缺省值;第二页的设置为:instruction cache 可设定为2KB,其它用缺省值;第三页及以后各页设置:缺省值。 添加Jtag_Uart,设置全部用缺省值; 添加pio,第一页设置如图1,第二页设置为图2,其它用缺省值;最后改名为key_pio; 添加sysid部件,设置全部用缺省值,改名为sysid。 图1 图2 至此完成所有部件的添加和配置。点击system-auto-assign base address;点击system-auto-assign IRQs;点击generate,生成nios_keyin系统。 7、回到QII,双击原理图编辑器工作区,找到nios_keyin软核的框图,调入工作区,并与输入输出连接,参见图3; 图3 8、管脚绑定,参见图4; 图4 构建好的硬件系统参见图3. 附件2:Nios软件工程的建立和编程 1、打开NIOSII IDE;指定工程所在的目录,如e:\tmp\nios_ex_keyin\software 2、点击file-〉new-〉niosII c/c++ applications,如图1; 图1 3、选择工程模板hello_world,指定工程名字,如nios_keyin,如图2; 图2 4、编写C程序,参见图3; #include sys/alt_irq.h #include altera_avalon_pio_regs.h #include system.h //#include unistd.h //#include stdlib.h #include stdio.h alt_u8 int_flag; //中断标志位 alt_u8 edge_capture_value; //IO当前状态存储变量 /* 按键中断服务程序*/ static void handle_key_interrupts(void) { edge_capture_value = IORD_ALTERA_AVALON_PIO_DATA(KEY_PIO_BASE);//EDGE_CAP(KEY_PIO_BASE); //读取当前产生中断的IO值 IOWR_ALTERA_AVALON_PIO_EDGE_CAP(KEY_PIO_BASE,0x00); int_flag = 1; } /* 按键IO初始化 */ static void init_button_pio() { edge_capture_value = 0x00; /**//* Enable all 4 button interrupts. */ IOWR_ALTERA_AVALON_PIO_IRQ_MASK(KEY_P

文档评论(0)

ghfa + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档