哈工大HIT数字逻辑大作业.docVIP

  1. 1、本文档共22页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
哈工大HIT数字逻辑大作业.doc

2013数字逻辑-电子密码锁报告 计算机科学与技术学院 小组成员: 2013年5月 …………………………………………………………3 工作原理、系统方框图…………………………………………………4 各部分选定方案及电路组成、相关器件说明…………………………9 设计结论………………………………………………………..….…..10 设计心得与总结……………………………………………...…..……11 参考文献……………………………………………………………….14 附录一:总体器件表及相关器件的功能表、管脚分布………….…15 附录二:总体设计图 ………………………………………………….18 附录三:仿真结果………………………………………………….…19 附录四:小组各成员所做工作说明…………………………….....…22 设计目的及要求 [设计目的] 实验大作业是在学完本门课程后,对所学知识的综合性考察 知识覆盖面宽,实验所需时间长。独立完成从设计、选片、连线、调试、排除故障到实现一个数字系统的全过程,详细书写实验报告。通过综合设计性实验,培养学生灵活运用所学知识解决比较复杂的实际问题的能力。 要求当开锁按扭开关(可设置8位,其中只有4位有效,其余位为虚设)的输入代码等于码时启动开锁控制电路,并且用绿灯亮、红灯灭表示开锁状态。5秒内若未能将锁打开,则电路自动复位并发出报警信号,同时用绿灯灭、红灯亮表示关锁状态。 工作原理、系统方框图 1.系统原理简图: 2.组成原理: 系统主要由四部分组成,分别是:数据输入触发器、计时器、密码修改兼比较器、主控元件。数据输入触发器:8个数据输入端a,b,c,d,e,f,g,h、键入密码控制端inkey和时钟信号clk 触发原理:当inkey为有效值1时,锁存器锁存当前8个输入端的值并且输出,与相应输入端输入值两两比较(使用异或门比较,相同输出0,不同输出1),再将比较结果相或运算输出,输出为1时即为需要触发计时,输出时钟,否则输出0值; 电路如图: 计时器: 输入:由数据输入触发器给出时钟源,control期间给定控制端。 原理:自定义的元器件,对给定的CBA,元件工作时会由CBA-000-CBA循环计数,控制时钟频率从而达到计时效果。同时输出端与七段译码器相连,译码器输出连接到显像管可实现计时显示。显示由两部分组成:输入倒计时(5-0和可输入次数倒计时组成,后者的时钟源由前者输出转换给定。 电路如图:(时钟输入、控制端输入、2个循环逆序计数器、2个七段译码器7448和异或门、非门、或门组成) 密码修改兼比较器: 输入:由控制端cpa和O2组成; 原理:当cpa=1时有效,此时主控元件control控制O2信号为高电平‘1’,开始修改密码;当cpa=0时,主控元件control控制O2信号为低电平‘0’,锁存密码。 主控: 数据输入端异或门或门时钟输入、控制端输入、2个循环逆序计数器、2个七段译码器7448芯片和异或门、非门、或门组成密码修改兼比较器异或门或门 四.设计结论 根据基本的数字逻辑和FPGA设计原理能够设计出一定复杂度的电子密码锁。max+plus II软件等方面也学到了不少在上课学不到的知识。这段时间我查阅到很多关于课程设计的书籍,对我帮助也很大。我觉得自己以前的盲目,现在明白了很多。也对我们专业动手实践的兴趣提高了很多。有了这些经历对于我日后工作一定会有很大帮助。相信这次设计中学到的种种东西一定会存在我的脑海里,令我终身受益。 在课程设计的过程中也可以看到我的不足,如原理知识掌握不实,曾经学过的知识如今却不会应用,软件的应用也不熟练,希望日后提供给我们更多的锻炼机会来培养我们的实践能力。 五.设计心得与总结 xxx:n进制计数器有了更深的了解和掌握,对自我的实际操作能力也有了很高的提升。 同时,我进一步巩固了自己运用max+plus II的能力和技巧。这次使用max+plus II的过程中,从软件的安装到程序的设计、调试都是我自己独立完成,只是最后小组成员进行了一定的内部讨论。电子密码锁虽然简单,但是在线路的连接上还是让我感受到细心很重要。在调试环节,逻辑发生器和示波器等工具都充分发挥了其作用,帮助我进一步完善程序。 此外,这次的实验还让我感受到做事时耐心的重要作用。自己独立完成一项任务时可能会有各种各样的困难,不骄不躁,不气不馁,以平和的心态应对一切,这才是一个工科学生应该具备的心里素质。这次实验让我从中学到了很多东西,做事的方法。做事的心态。也让我体验到了自主完成一项从未体验过的事物的快感。 yy:max+plus II的安装、调试 、运行、仿真数据的输入等都会影响实验结果,造成实践与理论的脱节。 这就要在实验过程中,要实事求是如实地记录实

您可能关注的文档

文档评论(0)

cai + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档