EDA课程设计之八路彩灯控制系统资料.doc

  1. 1、本文档共18页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
EDA课程设计之八路彩灯控制系统资料.doc

目 录 1前言 2 2 总体方案设计 3 2.1方案比较 3 2.2方案论述 4 2.3方案选择 4 3单元模块设计 5 3.1时钟信号模块 5 3.2节拍快慢控制模块 6 3.3彩灯控花型控制模块 7 4软件设计 9 4.1 Quartus Ⅱ简介 9 4.2 Qartus Ⅱ设计开发流程 10 5系统调试 11 6系统功能及指标参数 12 7设计总结与体会 13 8参考文献 14 附录1总设计图及仿真图 15 附录2 Verilog HDL源程序 16 1前言 在经济和商业高度发达的今天,彩灯已经成为人民日常生活不可或缺的一部分,已经逐渐为越来越多的人们所关注,在家庭中的普及率不断提高,大大的丰富和点缀了人民的生活。彩灯由不同的颜色的LED灯组成,通过控制不同颜色的灯的亮与灭,呈现给人们不同的花塑和图案,极大的点缀了单调的都市夜景,让城市成为了多姿多彩的不夜城。 当今社会是数字化的社会,也是数字集成电路广泛应用的社会,数字本身在不断的进行更新换代。它由早起的电子管、晶体管、小中规模集成电路发展到超大规模集成电路以及许多具有特定功能的专用集成电路。而EDA技术就是以微电子技术为物理层面,现代电子设计为灵魂,计算机软件技术为手段,最终形成集成电子系统或专用集成电路ASIC为目的的一门新兴技术。 Verilog HDL是一种应用较为广泛的HDL语言,能对范围广泛的各种复杂的网络在不同的抽象级加以描述,而且在整个设计过程中可使用同一种语言。采用Verilog HDL作为HDL综合设计的优点有:标准语言,即设计者可在不同环境下进行设计;仿真和综合均可采用同一种语言进行;Verilog HDL中提供的大量的资源模块,简化了设计者的开发工作;由Verilog HDL描述的源文件既是程序文件又可作为设计的文档。 2 总体方案设计 彩灯控制器的核心设计部分是彩灯花型的控制模块,显示部分相对比较容易。分频器的设计方法多种多样,我设计的是比较常见的一种。外接clk为始终输入基准信号,彩灯节奏的快慢用选择开关select[1:0]。针对课题要求设计了如图2.1所示总体框图。 图2.1八路彩灯总体框图 2.1方案比较 该设计主要是以FPGA为基础,通过硬件描述语言Verilog HDL灵活多变的改变彩灯显示的花型以及宽快慢,对此这几了以下两个方案。 方案一:总体电路共分三大块。第一块实现时钟信号的产生;第二块实现花型的控制及节拍控制;第三块实现花型的演示。主题框图如图2.2所示。 图 图 图3.1分频器模块 设计的标准时钟输入时50M Hz,但是在Quartus Ⅱ中仿真时由于计数次数太多以至于不能正常得到分频后的波形,所以此处的仿真波形非标准时钟,仅用来表示分频的结果。 图3.2分频仿真时序图 图3.2仿真波形图中,out是对基准时钟的4分频,out1是对基准时钟的8分频,out2是对基准时钟的16分频,out3是对基准时钟的32分频。 3.2节拍快慢控制模块 节拍快慢控制模块即一个4选1数据选择器,它的功能是将分频器分出来的四个时钟信号通过我们外部输入而选择其中一个输出到下一个模块中,这样就可以控制彩灯显示的快慢。它的真值表如表3.1所示。 表3.1 4选1数据选择器真值表 select[1:0] 输出 0 Out1 0 1 Out2 1 0 Out3 1 1 Out4 4选1数据选择器仿真波形和封装模型分别如下: 图3.3数据选择模块 图3.4 4选1数据选择器仿真图 图3.4数据选择器仿真波形中,输入的选择信号sel是2b01即选择输入的第二个波形,所以输出的波形即是第二个波形。 3.3彩灯控花型控制模块 彩灯控制模块是整个设计的核心,彩灯控制模块能够进行彩灯图案的控制,它负责整个设计的输出效果即各种彩灯图案的样式变化。在仿真时序中高电平代表灯亮,低电平代表灯灭,由高低电平按不同规律组合就代表不同的花型,同时选择不同的频率,从而实现多种图案多种频率的花样功能显示。此时Verilg HDL硬件描述语言的优势就展现出来,使用者可以按自己的需求改变源程序从而改变显示的花型,而不用更换显示设备,这样不但经济而且环保。程序封装图及仿真图分别如图所示。 图3.5彩灯控制系统程序封装图 图 图5.1 顶层文件总线图 图5.2 彩灯功能仿真图 6系统功能及指标参数 本设计主要是设计一个显示三种花型的八路彩灯控制系统。它能够通过外部选择调节彩灯显示的快慢,也可以通过更改Verilog HDL源程序改变所要现实的花型,从而获得不同的显示效果。 本设计是基于Quartus Ⅱ中的EP2S15F672C3芯片,基本完成了课程设计任务书上的所有功能要求,但还是有一些不足的地方,我以后会尽量改进。 7设计总结与体会 通过本次实验的学习

文档评论(0)

四娘 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档