FPGA蜂鸣器发声控制设计.doc

  1. 1、本文档共3页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
FPGA蜂鸣器发声控制设计

蜂鸣器实验 【实验目的】 理解蜂鸣器的工作原理。 学习FPGA控制蜂鸣器的设计方法。 【实验设备】 带有quartusII软件的PC机一台。 FPGA实验箱以及电源线下载线。 【实验要求】 理解蜂鸣器原理。 实现按键控制蜂鸣器音调改变。 【实验原理】 蜂鸣器原理: 蜂鸣器的原理是非常简单的,我们所要了解的就是它能在不同频率脉冲下产生不同的音调,我们听到的音调一般在350HZ左右,而我们开发板的时钟频率是50MHZ,所以事先我们先进行分频,本实验中我们根350,来大致确定分频系数,我进行了120000分频,同学们在可听到的声音范围内可自由设置分频系数;设置通过按键来调节声音的频率,来改变音调,本实验中每次调节最少使分频系数增加10000,这样使音调变化比较明显。图 2.15蜂鸣器连接图。 图 2.15 蜂鸣器连接图 如图所示,蜂鸣器的正极接到VCC(+5V)电源上面,蜂鸣器的负极接到三极管的发射极E,三极管的基级B经过限流电阻后由FPGA的引脚控制,当IO口输出高电平时,三极管截止,没有电流流过线圈,蜂鸣器不发声;当输出低电平时,三极管导通,这样蜂鸣器的电流形成回路,发出声音。 程序中改变FPGA的IO输出波形的频率,就可以调整控制蜂鸣器音调。另外,改变IO输出电平的高低电平占空比,则可以控制蜂鸣器的声音大小,本实验我们仅改变音调,不改变声音大小。 源码: module beep(clk,key,beep); input clk; input key; output beep; reg beep; reg[20:0] count; reg[20:0] n = 120000; always@(posedge clk) begin if(n==21b111111111111111111111) n=120000; else case(key) 1b0:begin n=n+10000; 1b1:n=n; default:n=n; endcase end always @ (posedge clk) begin if ( count n/2-1) begin count = count + 1b1; end else begin count = 21b0; beep = ~beep; end end endmodule 【实验步骤】 打开quartusII开发环境,打开ex17_beep的工程; 编译工程; 连接好电源线,下载线接JTAG接口,做好准备工作。 打开下载界面,导入.sof文件,下载运行,通过按复位键观察效果。 【思考练习】 练习编写程序,使蜂鸣器名叫频率可高可低。 module beep(clk,key,beep); input clk; input key; output beep; reg beep; reg [28:0] count; reg [28:0] n = 120000; always@(posedge clk) begin if(n==21b111111111111111111111) n=120000; else case(key) 1b0:n=n+10000; 1b1:n=n; default:n=n; endcase end always @ (posedge clk) begin if ( count n/2-1) begin count = count + 1b1; end else begin count = 21b0; beep = ~beep; end end endmodule

文档评论(0)

aicencen + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档