EDA实验(整理版)探析.doc

  1. 1、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。。
  2. 2、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  3. 3、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
 PAGE \* MERGEFORMAT 14 数据选择器 MUX41A四选一 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity mux41a is port(EN,A1,A0,D3,D2,D1,D0:in std_logic; Y:out std_logic); end mux41a; architecture one of mux41a is signal A:std_logic_vector(2 downto 0); begin A=ENA1A0; Y=D0 WHEN A=000else D1 WHEN A=001ELSE D2 WHEN A=010ELSE D3; END ONE; MUX61A六选一 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity mux61a is port(D0,D1,D2,D3,D4,D5:in std_logic; A:std_logic_vector(2 downto 0); Y:out std_logic); end mux61a; architecture AA of mux61a is begin Y=D0 WHEN A=000 ELSE D1 WHEN A=001 ELSE D2 WHEN A=010 ELSE D3 WHEN A=011 ELSE D4 WHEN A=100 ELSE D5 WHEN A=101 ELSE Z; end AA; MUX441A四位四选一 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity mux441a is port(A:in std_logic_vector(2 downto 0); D3,D2,D1,D0:in std_logic_vector(3 downto 0); Y:out std_logic_vector(3 downto 0)); end mux441a; ARCHITECTURE ONE OF MUX441A IS BEGIN Y=D0 WHEN A=000ELSE D1 WHEN A=001ELSE D2 WHEN A=010ELSE D3; END ONE; 译码器 DEC38A 3-8译码器 library ieee; use ieee.std_logic_1164.all; entity DEC38A is port( A2,A1,A0:in std_logic; S1,S2,S3:in std_logic; Y:out std_logic_vector(7 downto 0)); end entity DEC38A; architecture one of DEC38A is signal S:std_logic_vector(5 downto 0); begin S=S1S2S3A2A1A0; with S select Ywhen 100000, when 100001, when 100010, when 100011, when 100100, when 100101, when 100110, when 100111, when others; end one; DEC_DISPLAY 共阴极数码管 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity DEC_DISPLAY IS port(A:in std_logic_vector(3 downto 0); EN:IN STD_LOGIC; Ya,Yb,Yc,Yd,Ye,Yf,Yg:OUT STD_LOGIC); end dec_display; architecture one of dec_display is signal s:std_logic_vector(4 downto 0); signal Y:std_logic_vector(6 downto 0); begin S=ENA; Ya=Y(6); Yb=Y(5); Yc=Y(4); Yd=Y(

文档评论(0)

bbnnmm885599 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档