《数字电子技术》试题3.docVIP

  1. 1、本文档共4页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
《数字电子技术》试题3

2005 ~2006 学年第 学期《 》期末考试题( 卷) 适用于: 班级: 姓名: 学号:_____________ 题目 一 二 三 四 五 六 总分 登分签名 得分 一.填空题:(每空1 分,共37分) 1.写出下列公式: ; 。 2.常用的BCD码有 、 、 、 等。常用的可靠性代码有 、 等 3.三极管工作在数字电路中的状态是: 、 。 4.添加项公式AB+C+BC AB+C的对偶式为 。 5.当七段数码显示器各发光二极管的公共端接正电源VCC时,这种接法称为共 接法。此时,若要显示3字,则字中,各段驱动电平如下: 为高电平, 为低电平。 6.一个触发器有 、 两种状态;保存8位二进制数,要 个触发器。 7.输出端一定连接上拉电阻的是 门;三态门的输出状态有 、 、 三种状态。 8.时序逻辑电路分为: 和 。 9.按照逻辑功能的不同特点,常把数字电路分为 和 两大类。 10.常见的脉冲产生电路有 ,常见的脉冲整形电路有 、 。 11.若输入模拟信号的频率1000Hz,则取样保持电路的取样频率f应满足 。 12.模数转换的处理步骤为: , , , 。 13.要存储4位二进制数,需要 个存储单元。 14.半导体存储器主要分成两大类: 、 。 15.半导体数码显示器的内部接法有两种形式:共 接法和共 接法。 二.选择题(每小题2分,共16分) 1.以下代码中为无权码的为 。 A. 8421BCD码 B. 5421BCD码 C. 余三码 D. 格雷码 2. 逻辑函数的表示方法中具有唯一性的是 。 A .真值表 B.表达式 C.逻辑图 D.卡诺图 3. N个触发器可以构成最大计数长度(进制数)为 的计数器。 A.N B.2N C.N2 D.2N 4.TTL电路在正逻辑系统中,以下各种输入中 相当于输入逻辑“1”。 A.悬空 B.通过电阻2.7kΩ接电源 C.通过电阻2.7kΩ接地 D.通过电阻510Ω接地 5. (30)10 ( )2: A:101011; B:11110; C;110011; D:100001。 6. 十进制数25用8421BCD码表示为 。 A.10 101 B.0010 0101 C.100101 D.10101 7. 某存储器具有8根地址线和8根双向数据线,则该存储器的容量为 。 A.8×3 B.8K×8 C.256×8 D. 256×256 8.一个无符号8位数字量输入的DAC,其分辨率为 位。 A.1 B.3 C.4 D.8 三、如图2电路中,写出表达式,并画出真值表。(5分) 图2 四.公式化简(5分) 五.卡诺图化简(5分) F(A、B、C、D) Σm(0,2,8,9,10,11,13,15) 六. 分析下面计数器的逻辑功能。要求写出表达式,状态转换真值表,说明电路是模几计数器。(10分) 七. 用74LS161 构成12进制计数器,要求要下图中画出能实现该功能的连线图。(10分) 八、电路设计 1.试用74138译码器和门电路实现逻辑函数 ,写出设计的过程,最后在图中标出相应的连接方法,并保证各控制端满足工作条件。(注意:译码器的每个输出端分别与一个最小项相对应,如Y3 )(12分) 《数字电子技术》期末考试题 第1页 共4页

文档评论(0)

kakaxi + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档