EDA课设占空比可分频器设计报告.doc

  1. 1、本文档共9页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
EDA课设占空比可分频器设计报告

华侨大学 EDA设计报告 --占空比可调分频器 姓名: 学号: 班级: 学院:信息科学与工程学院 课题难度:0.9 摘要 本课题为可占空比可调分频器,系统要求为占空比3:10,输出信号频率3MHz;外部时钟信号12MHz。从题目可知系统功能分为分频和占空比可调两个部分,由于系统要求是能发生占空比为3:10的波形,故先对输入波形十分频,然后调解其占空比为3:10.由于十分频后频率达不到系统要求,故在分频器前加锁相环起到倍频作用,总电路功能是对输入信号四分频并任意调节其占空比,满足设计要求。 关键字:分频器,占空比可调,EDA 目录 一、 系统设计 3 1、设计要求 3 2.系统设计方案 3 二、 单元电路设计 3 1.锁相环 3 2.分频器 4 三、 软件设计 5 1.软件设计平台 5 2.实现方法 5 四、 系统测试 6 1.软件测试 6 2.硬件测试 7 五、 结论 8 六、 参考文献 8 七、 附录 8 总体原理图 8 分频器源程序 8 系统设计 1、设计要求 原设计要求:占空比3:10,输出信号频率3MHz;外部时钟信号12MHz。 新扩展要求:占空比任意可调,对任意输入信号四分频。 2.系统设计方案 方案一:先通过锁相环把频率扩大为原来的2.5倍,若系统输入频率为12MHz,则锁相环输出频率为30MHz,再把30MHz的方波经分频器十分频,同时按输入的占空比值调节占空比(例如,输入3,则占空比为30%),即可得到设计要求的波形。 方案二:通过锁相环直接调节输入波形的占空比,输出12MHz(锁相环输出频率须大于10MHz,故不能直接输出3MHz的波形),占空比为3:10的波形,再通过四分频器输出3MHz的波形。 方案对比:两种方案均能实现设计要求,但方案一可以实现任意调节占空比,方案二只能输出固定为3:10占空比的波形,可知方案一更为先进而实用。故选用方案一。 单元电路设计 1.锁相环 锁相环原理:锁相环是一种基于输入信号与输入信号反馈给振荡控制器的信号之间的相位差的闭环频率控制系统。它是闭环控制回路,正常工作时,输出频率锁定输入频率。当把输出信号经过分频器N分频后,再反馈到输入,输出就是N倍频。所以锁相环可以实现倍频功能。 本方案用锁相环将输入信号(12MHz)倍频到30MHz,再把30MHz输入分频器。 2.分频器 分频器源程序: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; 调用相关库 USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY clkdiv IS ----实体声明 PORT(clk: IN STD_LOGIC; ----定义输入端口外部时钟clk A:IN STD_LOGIC_VECTOR (3 DOWNTO 0); ----定义输入端口A(用于输入预设占空比) clk_div4: OUT STD_LOGIC); ----定义输出端口输出波形clk_div4 END ENTITY clkdiv; ARCHITECTURE rtl OF clkdiv IS ----结构体声明 SIGNAL count : STD_LOGIC_VECTOR (3 DOWNTO 0) ;----定义四位矢量信号count BEGIN PROCESS(clk) BEGIN IF (clkevent AND clk=1) THEN ----当clk为上升沿时 IF(count = 1001) THEN ----当count=1001即十进制10时 Count = (OTHERS =0); ----count清零 ELSE Count = count +1; ----count加一 END IF ; END IF ; END PROCESS; PROCESS(clk)

文档评论(0)

ikangyme + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档