1. 1、本文档共8页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
校准时钟

第一部分 BSC校准时钟 以下是在现场校准BSC,RCXDR ,INCELL BTS的GCLK的时钟步骤。GCLK的校准在两种情况下:当出现每个小时超出一个滑帧时必须校准。 当GCLK与E1/T1同步时并且系统要求校准时.(MOTOROLA要求半年校准一次) 所需设备:1.一台IBM兼容的PC机。 2.9转25的串口线。 3.铷时钟源。 4.通用频率计-HP5385A或其它同功能设备。 5.同轴屏蔽电缆—BNC接头,用于连接铷时中钟与频率计。 6.新的校准标签。 7.专用的调笔。 命令:以下是在校准GCLK时需用到的命令: 在BTS/BSC/OMC使用的命令 功 能 disp_equipment location 显示某个基站的配置情况。 state location 显示设备或功能的状态。 disp_element phase_lock_gclk location 显示时钟锁相功能是否允许 location为基站号。 在BSC/OMC使用的命令 功 能 chg_element phase_lock_gclk flag location 设置时钟锁相功能的状态:flag=0为非锁相, flag=1为锁相,location为基站号。 Clear_gclk_avgs location gclk_id 清除GCLK寄存器中的LTA值。 步骤:在执行校准前进行以下准备工作:记录GCLK的串号,最后一次校准的日期, 现在的GCLK 的频率,将GCLK面板上的标签取下。 在开始之前要查阅关于此站的相关配置的文档。 通过BSC或OMC进入BSC。 如从OMC远程登陆成功,请转向5,继续执行。 用9转25串口线连接PC与GPROC/GPROC2的TTY口。 在PC开启终端仿真程序。 开启频率计,将铷时钟源作为频率计的外部时钟,并将频率计至于外部时钟模式。 设置频率计的frequency gate time为10秒,显示数值的有效位为10位。 检查锁相功能是否为on,在CUST MMI提示符下键入: disp_element phase_lock_gclk location location:0~40或“bsc” 结果为0表示锁相功能为off,1表示锁相功能为on。 如锁相功能为on,在OMC/BSC的CUST MMI提示符下键入: chg_element phase_lock_gclk 0 location 此命令将锁相功能设置为off。 在CUST MMI提示符下键入: disp_equip site number 得到GCLK的device id值。 在CUST MMI提示符下键入: state site number gclk device id device id device id 显示GCLK的状态。 在OMC/BSC的CUST MMI提示符下键入: clear_gclk_avgs location gclk_id gclk_id:0或1 清除GCLK的LTA值。 12.将导线的一端接频率计的输入口,另一端相应插入GCLK的16.384MHz 的输出口和地。 13.使用调笔在GCLK的FREQ ADJUST口调节频点。GSM要求偏差为+/- 0.8Hz,或更高的精度。将GCLK的输出调至频率计显示为16.384 000 00 为准。调整时顺时针旋转为频率值增加,逆时针为频率值减小。 14.重复9~14,校准其它的GCLK。 15.在OMC/BSC的CUST MMI提示符下键入: chg_element phase_lock_gclk 1 location 此命令将锁相功能开启。 16.将校准的日期记录在标签上,将其贴于每个GCLK的FREQ ADJUST口

文档评论(0)

sh4125733 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档