27571201EDA及VHDL设计试卷5.docVIP

  1. 1、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。。
  2. 2、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  3. 3、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  4. 4、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  5. 5、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  6. 6、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  7. 7、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
27571201EDA及VHDL设计试卷5

复核总分 复核人 总 分 题 号 一 二 三 四 五 题 分 10 10 18 32 30 核分人 得 分 得分 评卷人 复查人 1. 一个实体可以拥有一个或多个( )。 A. 输入      B.输出      C. 设计实体    D.结构体 2. 在VHDL中,16#FE#属于( )文字。 A.整数       B.以数制基数表示的 C.实数       D.物理量 3. 在下列标识符中,( )是VHDL错误的标识符。 A. xyz_a_4    B.xyz_4     C. xyz--4    D.xyz_xyz 4. 在VHDL中,为目标信号的赋值符号是( )。 A.=:       B.=      C.:=        D.= 5. 在VHDL语言中,用于检测clock的沿的语句是( )。 A.clock’EVENT B.clock’EVENT and clock=‘1’ C.clock=‘1’ D.clock’EVENT and clock=‘0’ 6. 在VHDL的进程语句格式中,敏感信号表列出的是设计电路的( )信号。 A.输入      B.输入和输出    C.输出       D.时钟 7. VHDL属于( )描述语言。 A. 高级   B. 低级    C. 行为    D. 普通硬件 8. VHDL的一个设计包括实体与结构体两部分,体描述A.器件外部器件的内部功能器件的综合约束器件外部特性与内部功能. Altera公司生产的MAXⅡ系列器件,属于( )。 A.CPLD       B.FPGA     C.PAL       D.GAL 10. 使用QuartusⅡ实现文本设计输入,应采用( )方式。 A.图形编辑 B.波形编辑 C.符号编辑 D. 文本编辑 得分 评卷人 复查人 11. EDA的设计验证包括功能仿真、      和器件测试三个过程。 12. 用VHDL书写的源文件,既是     又是文档。 13. IEEE于1993年公布了VHDL的    语法标准。 14. 在VHDL端口声明语句中端口方向包括IN、OUT、INOUT和 。 15. VHDL的信号是一个 ,可以完成进程之间的通信。 16. VHDL操作符包括逻辑操作符、算术操作符、 和符号操作符四类。 17. VHDL的子程序有 和函数两种类型。 18. 元件例化是将预先设计好的设计实体作为一个 ,连接到当前设计实体中一个指定的端口。 19. 在QUARTUSⅡ集成环境下,执行原理图输入设计法,应选择 编辑方式。 20. 在 QUARTUSⅡ的添加硬件对话框中,如果选择 模式,则是适用于各种类型的可编程逻辑器件模式。 得分 评卷人 复查人 21.CPLD的中文含义是什么?CPLD的基本结构包括哪几个部分? 得 分 22.解释什么是功能仿真? 得 分 23.简述进程语句的特点。 得 分 得分 评卷人 复查人 24.以下是低有效使能en、输入为data_in、输出为data_out的八位三态输出电路构造体描述。指出其中的三处错误。 ARCHITECTURE behave OF triout IS BEGIN PROCESS(en) BEGIN data_out=data_in WHEN en=1 ELSE Z; END PROCESS END behave; 得 分 25.阅读下面的VHDL程序,分析设计电路功能。 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; ENTITY test25_e IS PORT ( op1,op2: IN std_logic_vector(12 DOWN

文档评论(0)

kxiachiq + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档