- 1、本文档共15页,可阅读全部内容。
- 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
- 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载。
- 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
- 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
- 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们。
- 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
- 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
数字电路设计实验vhdl语言实验报告.
实验一 秒表计数器的设计
实验目的:
本实验通过设计四种频率可选的数字时钟系统,以达到熟悉VHDL语言编程语法、设计思路和熟练掌握Quartus II 开发软件的目的。
二、实验内容:
该数字时钟的显示格式如下所示:HH:MM:SS,其中HH表示时计数的两位,MM表示分计数的两位,SS表示秒计数的两位。本系统输入信号分别为复位信号rst(高有效)、sel(两位信号,分别可以选择2分频、4分频8分频和16分频)、clk_in(时钟信号)、8位时输出、8位分输出、8位秒输出(其中高4为表示对应的高半字节、低4位表示的低半字节,譬如当时间为08:59:30时,时输出为”0000_1000”,分输出为”0101_1001”,秒输出为”0011_0000”)。该时钟系统可以通过Sel信号时钟运行的快慢。
三、实验流程:
通过对实验内容的分析:可以考虑时钟系统的可由三部分组成:
1、分频器:
分频器为时序电路并且通过《数字电路》理论课程的学习可知由计数器来实现,同学可以回想一下实验1中是如何实现计数器电路的设计),该模块主要产生2、4、8、16分频的时钟信号;
2、多路选择器:
在VHDL中多路选择器为组合逻辑,可以有多种实现方法,在这里主要选用了case语句来实现。该模块的作用是从分频器中根据Sel信号选择适当的时钟信号;
3、时钟控制器:
该模块比较复杂,主要实现功能是实现一个24小时的计时。当时间为00:00:59的时候下一个时钟到来时状态的跳变为00:01:00,计时中多数计数为加1操作,有几个特殊状态需要重点考虑:当时间产生分进数时,譬如上例。当时间产生时进数时,譬如00:01:59时刻的下一个状态为00:02:00;当时间产生时进数时,譬如00:59:59是个的下一个状态为01:00:00。当时间产生天进数时,譬如23:59:59的下一个状态为00:00:00。
四、仿真要求:
本次试验的结果全部采用功能仿真分析:
在结果图中能够看到让复位信号rst为有效的情况下,所有的输出为00:00:00;
2、当频率选择输出分别为”00”、”01”、”10”、”11”时秒为的进数分别包含2、4、8、16倍clk_in的时钟周期;
3、可以看到完整的计时周期00:00:00-23:59:59-00:00:00。
五、实验代码:
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity fpga is
port(
clk_in : in std_logic;
rst : in std_logic;
sel : in std_logic_vector(1 downto 0);
hour_high_out : out std_logic_vector(3 downto 0);
hour_low_out : out std_logic_vector(3 downto 0);
minute_high_out : out std_logic_vector(3 downto 0);
minute_low_out : out std_logic_vector(3 downto 0);
second_high_out : out std_logic_vector(3 downto 0);
second_low_out : out std_logic_vector(3 downto 0)
);
end fpga;
architecture beha of fpga is
signal clk : std_logic;
signal clk_cnt : std_logic_vector(3 downto 0);
signal hour_high : std_logic_vector(3 downto 0);
signal hour_low : std_logic_vector(3 downto 0);
signal minute_high : std_logic_vector(3 downto 0);
signal minute_low : std_logic_vector(3 downto 0);
signal second_high : std_logic_vector(3 downto 0);
signal second_low : std_logic_
您可能关注的文档
- 数字电视改造与catv前端系统..doc
- 数字电视常见故障分析及解决办法..doc
- 数字电视机顶盒市场现状及发展趋势..doc
- 数字电路,模拟电路名企的笔试面试..doc
- 数字电路2-4译码器设计..docx
- 数字电路(第二版)贾立新1数字逻辑基础习题解答..doc
- 数字电路与系统设计(实验八)同步时序电路逻辑设计..doc
- 数字电路___交通灯控制器..doc
- 数字电路与逻辑设计..doc
- 数字电视技术考试要点..docx
- 2026年高考思想政治一轮总复习考点小测卷(四)只有坚持和发展中国特色社会主义才能实现中华民族伟大复兴.doc
- 2026年高考思想政治一轮总复习12大重点任务群(一)中国特色社会主义课时1 人类社会的发展进程及演进规律任务二科学社会主义的理论与实践.doc
- 2026年高考思想政治一轮总复习12大重点任务群(八)认识社会与价值选择课时2社会历史观与人生价值观任务一寻觅社会的真谛.doc
- 2026年高考思想政治一轮总复习考点小测卷(九)历史和人民的选择.doc
- 2026年高考思想政治一轮总复习考点小测卷(五十八)创新思维要善于联想.doc
- 2026年高考思想政治一轮总复习考点小测卷(四十五)自主创业与诚信经营.doc
- 2026年高考思想政治一轮总复习12大重点任务群(二)我国的基本经济制度课时2我国的社会主义市场经济体制任务二更好发挥政府作用.doc
- 2026年高考思想政治一轮总复习12大重点任务群(一)中国特色社会主义课时2中国社会主义的发展历程任务二只有中国特色社会主义才能发展中国.doc
- 2026年高考思想政治一轮总复习阶段综合检测卷(十)各具特色的国家.doc
- 2026年高考思想政治一轮总复习阶段综合检测卷(八)认识社会与价值选择.doc
最近下载
- 第六章煤层气钻井完井工艺技术.ppt VIP
- WI-PM-004ALL100烧录器操作规范.doc VIP
- 2025年国企审计部内部招聘试题.pdf VIP
- 文稿传输otn原理及设备介绍.pptx VIP
- BS EN 50600-2-2-2019 信息技术.数据中心设施和基础设施.第2-2部分:供电和配电.pdf VIP
- 中医护理方案2017解读.pptx VIP
- 标准图集-08CJ17快速软帘卷门 透明分节门 滑升门 卷帘门.pdf VIP
- 驭胜s350及电路图n351第三阶段2013-11-13分动器.pdf VIP
- 2025年安全生产考试题库(林业行业安全规范)试题集.docx VIP
- 检验科质量与安全管理制度培训学习资料.pptx VIP
文档评论(0)