第十章_EDA设计优化[精选].ppt

  1. 1、本文档共55页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
第十章_EDA设计优化[精选]

图10-21 Clique对话框 10.3.3 打包(Clique) 图10-22 Clique后的Floorplan 10.3.3 打包(Clique) 10.3.3 打包(Clique) Clique的注意事项: 仅仅对关联的逻辑进行Clique(打包到同一组)。 对速度有更高要求的模块使用打包,那些模块往往处于关键路径,改善它们的速度会提高系统的整体速度。 Clique使用于层次化设计中,将大的、慢速的逻辑块分成小模块,便合理使用Clique。 对整个设计应用打包(同一组)等于没有应用,打包是一种局部的布局规划,适用于设计中的某些模块。 10.3.4 局部逻辑综合选项 图10-23 使用局部逻辑选项 10.3.4 局部逻辑综合选项 图10-25 分立逻辑选项 10.3.4 局部逻辑综合选项 图10-26 清除工程选项设置 10.3.5 Probe的使用 图10-27是一个简单的设计(图形方式),假如需要观察节点1(Q端)的波形。 图10-27 未加Probe的设计 10.3.5 Probe的使用 图10-28 右键菜单 10.3.5 Probe的使用 图10-29 加入探针后 10.3.5 Probe的使用 图10-30 probe的仿真波形 Probe 使用技巧 (1)对dff的Q、D、CLK、ENA、CLRN、PRN可以使用probe,一般dff不会轻易被化简掉。 (2)对LE的输出可以使用probe (3)对于不可使用probe的情况下,加一个LCELL,问题可能会得到解决,不过,逻辑会改变,会增加一个LCELL Delay。可以在测试(Debug)时加入,随后去掉LCELL。 10.4 其他设置 10.4.1 Slow Slew Rate设置 图10-31 Slow Slew Rate选择 10.4 其他设置 10.4.2 EPC系列配置器件设置与编程 图10-32 EPC2的编程文件产生设置 图10-33 EPC2下载 图10-34 SuperPro L+编程器的器件选择 * KX康芯科技 * EDA技术实用教程 第10章 设计优化和设计方法 10.1 面积优化 10.1.1 资源共享 FPGA/CPLD资源的优化具有实用意义: (1)通过优化,可以使用规模更小的可编程逻辑芯片,从而降低系统成本。 (2)对于许多可编程逻辑器件(例如某些公司的CPLD器件),由于布线资源有限,耗用资源过多而严重影响电路性能。 (3)为以后的技术升级,留下更多的可编程资源,方便添加产品的功能。 (4)对于多数可编程逻辑器件,资源耗用太多会使器件功耗显著上升。 10.1.1 资源共享 【例10-1】 LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; USE ieee.std_logic_arith.all; ENTITY multmux IS PORT (A0, A1,B : IN std_logic_vector(3 downto 0); sel : IN std_logic; Result : OUT std_logic_vector(7 downto 0)); END multmux; ARCHITECTURE rtl OF multmux IS BEGIN process(A0,A1,B,sel) begin if(sel = 0) then Result = A0 * B; else Result = A1 * B; end if; end process; END rtl; 图10-1 先乘后选择的设计方法RTL结构 图10-2 先选择后乘设计方法RTL结构 【例10-2】 ARCHITECTURE rtl OF muxmult IS signal temp : std_logic_vector(3 downto 0); BEGIN process(A0,A1,B,sel) begin if(sel = 0) then temp = A0; else temp = A1; end if; result = temp * B; end process; END rtl; 图10-3 资源共享反例 10.1.2 逻辑优化

您可能关注的文档

文档评论(0)

dart001 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档