SOPC范例程序-入门【DOC精选】.doc

  1. 1、本文档共21页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
SOPC范例程序-入门【DOC精选】

SOPC范例程序 新建一个工程,选择File-New Project Wizard,出现以下界面 选择Next ,出现以下对话框 给新工程命名并选择工程目录,本例使用runningled作为工程名。点击两次Next ,出现如下对话框 在Family中选择Cyclone II,Available devices中选择EP2C35F672C6,为我们使用的FPGA芯片型号。然后点击Finish,至此就建立好了新工程。再点击Quartus II软件右上方工具栏的,打开SOPC Builder程序。在弹出的对话框中指定SOPC系统的名称,注意不要与工程名相同。指定Verilog为描述系统的语言。本例将建立一个包含CPU核、4Kb片上RAM、一个定时器和8个IO口的最小片上系统以演示跑马灯程序。 首先在系统中添加片上RAM。在程序左侧列表中选择Memory and Memory Controllers - On-Chip - On-Chip Memory (RAM or ROM),双击添加至系统中。 在弹出的对话框中指定片上RAM的属性。保持默认设置即可。 再添加CPU。双击Altera SOPC Builder - Nios II Processor,在弹出的对话框中间选择第一个Nios II/e,表示economy,最小的NIOS II核心。下面的Reset Vector和Exception Vector都选择onchip_men,即刚才添加的片上RAM的名称。其它的都保留默认设置即可。点击Finish添加CPU核。 添加定时器。在列表中选择Peripherals - Microcontroller Peripherals - Interval Timer,弹出如下对话框。定时器在本系统中主要作用是产生一个固定间隔的中断信号,让CPU改变LED灯的状态。因此在Period中选择500ms,表示灯的状态每500ms改变一次。Preset选择Simple periodic interrupt即可。 最后添加IO口控制器。双击Peripherals - Microcontroller Peripherals - PIO (Parallel I/O),保持默认设置即可,表示有8个输出用IO口,分别控制开发板上的8个绿色LED灯(LEDG[7..0])。 至此系统组件添加完毕。为了方便,我们将PIO的名称改为LEDG。在pio上点击右键 - rename,将名称改为LEDG。 系统的每个组件都需要一个地址才能正常工作。某些组件,如定时器(Interval Timer)还需要分配一个IRQ号。如果发现各组件的地址或者IRQ号出现冲突,可以选择菜单栏上 System - Auto-Assign Base Addresses 以及 System - Auto-Assign IRQs自动设定地址和IRQ。(注:系统IRQ可以是从0到31的整数,数值越小优先级越高。 至此系统已经构造完毕,点击下方 Generate 生成系统。程序将提示需要先保存,选是即可。出现以下画面说明SOPC系统已经生成完毕。 硬件电路设计 SOPC系统生成完毕后,回到Quartus II软件,选择 File - New…,在弹出的对话框中选择Block Diagram/Schematic File,点击OK。 双击空白处,打开新对话框,在左侧的列表中选择Project - smallest,双击或点下方的OK。 此元件为刚才使用SOPC Builder生成的片上系统。共有3组IO口,分别是clk时钟输入、reset_n重启信号输入和绿色LED灯信号输出IO口。再次在空白部分双击,在Name框内输入input,添加输入端口。一共需要两个。然后使用同样步骤添加一个ouput输出端口。结果应如下图所示。 双击输入或输出元件可以更改它们的名称。将两个输入端分别改名为CLOCK_50及KEY[0],代表开发板上的50MHz晶振和KEY0按钮。将输出端改名为LEDG[7..0],代表开发板上的LEDG7到LEDG0 共8个绿色LED灯。需要注意的是SOPC Builder生成的系统的重启信号为低电平有效,开发板上的按键按下后代表低电平,弹起代表高电平。然后将这几个元件连接起来,硬件电路部分设计完毕。电路应如下图所示。 最后,点击Quartus II软件菜单栏上的Assignments - Import Assignments… 导入DE2开发板默认的管脚定义文件 保存顶层图为runningled.bdf,编译即可。编译完成后将程序烧写至FP

文档评论(0)

taotao0b + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档