M第三章设计输入.pptVIP

  1. 1、本文档共22页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
M第三章设计输入

第 3 章 设计输入 文本输入方式 图形输入方式 波形输入方式 使用其他的EDA工具 创建和编辑图元 3.1、设计输入简介 3.2、文本输入方式 文本输入方式是指以文本形式输入逻辑设计的一种输入方式,一般的文本输入文件包括以各种文本文件作为设计的输入文件,例如ADF、VHDL、和EDF等文件,本章所述文本输入方式特指使用AHDL、VHDL、和VerilogHDL硬件编程语言作为文本的输入语言的输入方式(本课程只介绍MAX+PLUS II的使用,硬件描述语言的具体情况请参阅有关书籍)。 3.2.3、文本输入的一般步骤和基本操作 本节通过一个实例介绍文本输入的一般步骤和相关的基本操作。我们将使用AHDL语言创建一个计数器。 3.3、图形输入方式 图形输入方式使用MAX+PLUS II提供的图元和用户之际创建的图元作为输入单元输入设计的原理图,从而完成设计的输入任务。由于通过原理图可以清楚的看到组成设计项目的各个模块之间的关系,因此顶层文件通常采用图形输入方式来创建。图形编辑器不仅能够用于创建包含元器件、兆功能模块和宏功能模块的GDF文件,还可以打开OrCAD图表文件和其他GDF文件。 3.3.2、图形输入的一般步骤和基本操作 图形输入一般步骤与文本输入大致相同: 1、新建一个文件并规定项目名称。 2、设置图形编辑器的相关属性。 3、输入原理图。 4、保存文件并检查错误。 3.3.3、图元库介绍 为了方便输入,MAX+PLUS II为实现不同的逻辑功能,提供了大量的图元,包括元器件。兆功能模块。参数化模块和宏功能模块,用户可以在图形文件中直接使用这些图元,从而方便快捷地输入原理图。 通过单击Enter Symbol对话框的Symbol Libraries列表中库可以查看各个库中的图元: 添加/删除图元库 1、选择Option | User Libraries选项,弹出对话框: 2、从Directories列表框中选择 所要用户图元库的目录,或 在Directories Name文本框 中键入目录名称。 3、单击Add或Delete添加或删 所选库。 4、单击Ok保存设置。 如何自定义图元将在第6节介绍。 3.4、波形输入方式 波形输入方式是以波形来描述逻辑关系的输入方式,适合于设 计输入和输出关系定义明确的时序逻辑。MAX+PLUS II波形编辑器 为波形输入方式提供了直观而方便的编辑环境。 3.4.2、波形输入的一般步骤和基本操作 3.5、使用其他的EDA工具生成的文件进行输入 MAX+PLUS II编译器除了可以接受前面使用文本编辑器、图形编辑器和波形编辑器输入的设计文件外,还可以接受其他EDA工具生成的设计文件,如EDIF输入文件(.edf)和Xilinx网表格式文件(.xnf). 导入其他EDA工具生成的文件 可以导入到MAX+PLUS II中的设计文件除了GDF、TDF、WDF文件外,还有EDF、ADF、XNF、SCH、VHD和V文件等,要导入这些文件,步骤如下: (1)选择File | Project | Name选项,弹出Project Name对话框。 3.6、创建图元 MAX+PLUS II提供了创建和编辑图元的功能,用户可以使用图元编辑器编辑以存在的图元,

文档评论(0)

118books + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档