姜书艳 数字逻辑设计及应用 20.pptVIP

  1. 1、本文档共37页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
Chapter 7 Sequential Logic Design Principles ( 时序逻辑设计原理 ) Latches and Flip-Flops (锁存器和触发器 ) Clocked Synchronous State-Machine Analysis (同步时序分析) Clocked Synchronous State-Machine Design (同步时序设计) 兰州石化职业技术学院 —国家重点建设示范性高职高专 青春啊,永远是美好的。可是真正的青春,只属于那些永远力争上游的人,永远忘我劳动的人,永远谦虚的人 知识是从刻苦劳动中得来的,任何成就都是刻苦劳动的结果。 要想成就大事业,要在青春的时候着手。 厚积薄发,成一番事业。 兰州石化职业技术学院 —国家重点建设示范性高职高专 理想是美好的,但没有意志,理想不过是瞬间的彩虹。 一粥一饭,当思来之不易。 半丝半缕,恒念物力维艰。 养成健康人格,发挥个性特长 培养实践能力,适应社会需求 兰州石化职业技术学院 —国家重点建设示范性高职高专 真正的快乐,是对生活的乐观,对工作的愉快,对事业的兴奋。 ----(美)爱因斯坦 一个人只有以他全部的力量和精力致力于某一事业时,才能成为真正的大师。因此,只有全力以赴,才能精通。 ----(美)爱因斯坦 参观游历皆学习。 Review of Last Class (内容回顾) Review of Last Class (内容回顾) 同样是主从结构, 由D锁存器构成的可以称为边沿D触发器 由S-R锁存器构成的不能称为边沿S-R触发器 主从结构的J-K触发器也不是边沿触发!! (1箝位 和 0箝位) 锁存器与触发器小结 锁存器和触发器 —— 电平有效和边沿有效的区别 按照逻辑功能的不同特点,通常可分为 S-R触发器(锁存器) D触发器(锁存器) J-K触发器 T触发器 S-R Flip-Flops (Latches) S-R Flip-Flops (Latches) J-K Flip-Flop J-K Flip-Flop D Flip-Flops (Latches) T Flip-Flop 不同类型触发器间的相互转换 利用D触发器实现 S-R触发器 J-K触发器 T触发器 利用J-K触发器实现 S-R触发器 D触发器 T触发器 关于电路结构和逻辑功能 同一逻辑功能的触发器可用不同电路结构实现 主从结构的D触发器、维持阻塞结构的D触发器 用同一电路结构可做成不同逻辑功能的触发器 维持阻塞结构的:D触发器、J-K触发器 动态参数 —— 保证触发器在工作时能可靠翻转 锁存器的动态参数 输入信号宽度:tW ≥ 2tpd 传输延迟时间: tPLH / tPHL 从输入信号到达,到触发器输出新态稳定建立 与非:tPLH = tpd 、tPHL = 2tpd 或非:tPLH = 2tpd 、tPHL = tpd 说明: tpd表示一个门的延迟时间 触发器的动态参数 建立时间 tset 输入信号应先于时钟信号到达的时间 保持时间 thold 时钟信号到达后,输入信号需要保持的时间 最高时钟频率 fmax 为保证触发器可靠翻转,时钟脉冲必须满足的参数 传输延迟时间 tpHL/tpLH 从时钟脉冲触发边沿算起,到触发器建立起新状态 Sequential Logic Circuit Analysis and Design (时序逻辑电路的分析和设计) Sequential Logic Circuit (时序逻辑电路) Feedback Sequential Circuit(反馈时序电路) 采用“门电路+反馈回路”实现记忆功能 State Machine(状态机) 用触发器构造电路,用时钟控制状态转换 Sequential Logic Circuit Structure (时序逻辑电路结构) Sequential Logic Circuit (时序逻辑电路) 7.3 Clocked Synchronous State Machine Analysis (时钟同步状态机分析) 基本步骤: 确定下一状态函数F 和输出函数G 将F代入触发器的特征方程得到下一状态Q* 利用Q*、G构造状态/输出表 画出状态图、波形图(可选) 检查电路是否可以自启动 描述电路功能 Example: Clocked Synchronous State Machine Analysis (D Flip-Flop) Specification (

您可能关注的文档

文档评论(0)

1243595614 + 关注
实名认证
文档贡献者

文档有任何问题,请私信留言,会第一时间解决。

版权声明书
用户编号:7043023136000000

1亿VIP精品文档

相关文档