VHDL 与 Verilog HDL 的对比的认识.doc

  1. 1、本文档共6页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
VHDL 与 Verilog HDL 的对比 1.整体结构 VHDL Verilog HDL entity 实体名 is module 模块名(端口列表) port(端口说明 ) 输入/输出端口说明 end architecture 结构体名 of 实体名 is 说明部分; 变量类型说明; begin 代入语句; assign 语句 (连续赋值语句); 元件语句; 元件例化语句; 进程语句; always @ 块语句; end 结构体名; endmodule 点评: 两者结构基本相似,并行语句的种类也类似; VHDL语言需要进行大量说明,程序通常比较长; Verilog HDL通常不进行说明,或只进行非常简短的说明,程序比较简短。 2.数据对象及类型 VHDL 常量 信号 变量 9种预定义类型 各类用户定义类型 可描述各类不同的量 必须进行类型说明 运算时必须考虑类型的一致性和适用性 Verilog HDL 常量: 数量,参量 变量:网络型 寄存器型 类型种类少 运算时所受的约束少 3.运算符号 运算主要分为3类 : 算术运算 逻辑运算 关系运算 算术运算 VHDL中有10种 但很多都不能进行综合,只能用于行为描述 Verilog HDL中只有能够综合的5种 逻辑运算 VHDL中 有常用的6种,均用字符形式表达 Verilog HDL中有3类共14种, 分为一般逻辑运算,位逻辑运算,缩减逻辑运算 关系运算 VHDL中有6种 Verilog HDL中有2类共8种,对比增加了全等和不全等(用于对不定态比较)。 除了以上3类运算外,VHDL中还有连接运算,Verilog HDL中还有连接运算、移位运算和条件运算。 点评: VHDL的运算划分比较抽象,适应面较广 Verilog HDL的运算划分比较具体,对逻辑代数反映更细致一些。 4.语句 两种语言的语句都分为并行语句和顺序语句,并行语句在主程序中使用,顺序语句只能在子结构中使用; 并行语句都分为3种形式: 代入语句(3种) assign语句(连续赋值)(对wire类型变量) 元件语句 (需进行说明) 门原语、元件例化语句 (无需说明) process (敏感表) always @( ) begin begin …. …… end process; end 顺序语句 信号赋值 非阻塞赋值 ( 对reg型变量 ) 变量赋值 阻塞赋值 条件语句 if case 基本相同,VHDL稍微严密一些 循环语句 loop(3种形式) for repeat while forever 时钟边沿检测 clkevent and clk=1 (0) posedeg clk (negedge) 5.子结构 function function define procedure task 6.附加结构 library package include 7.典型程序对比: 8位4选1MUX library ieee; Use ieee.std_logic_1164.all; entity mux4 is modul

文档评论(0)

xuefei111 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档