Verilog代码(计数器交通灯串并转换).docVIP

Verilog代码(计数器交通灯串并转换).doc

  1. 1、本文档共11页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
Verilog代码(计数器交通灯串并转换)

1、通用二进制计数器 设计一个二进制计数器(默认为8位计数器),具有加/减计数功能、异步复位、预制数功能。 代码如下: `define WIDTH 8 module BinaryCounter(counter,clk,up_down,load,reset,din); output reg [`WIDTH-1:0] counter; input clk; input up_down; //1为加计数,0为减计数 input reset; input load; //预置数,1有效,din输出到counter input [`WIDTH-1:0] din; always@(posedge clk or posedge reset) begin if(reset) counter=0; else if(load) counter=din; else if(up_down==1) counter=counter+1; else if(up_down==0) begin counter=counter-1; if(counter1) counter=0; end end endmodule 测试程序如下: `define WIDTH 8 module BinaryCounter_tb; reg clk,up_down,reset,load; reg [`WIDTH-1:0] din; wire [`WIDTH-1:0] counter; integer i; initial begin clk=0; reset=1; #5 reset=0; #3000 $stop; end always #5 clk=~clk; /* always@(posedge clk) begin up_down={$random}%2; load={$random}%2; din={$random}%256; end */ initial begin #5; for(i=0;i256;i=i+3) begin din=i; #10; end end initial begin up_down=1; load=1; #20 load=0; #30 load=1; #30 load=0; end always #200 up_down=~up_down; BinaryCounter bcounter(.counter(counter), .clk(clk),.up_down(up_down),.load(load),.reset(reset), .din(din)); endmodule 波形图如下: 图1 二进制计数器波形图 图2 二进制计数器波形图 从图像可看出,20s-50s为加计数,50s-80s时load=1,执行置数功能,80s-200s时up_down=1,为加计数,200s-280s时up_down=0,为减计数。 格雷码计数器 代码如下: `define WIDTH 4 module GrayCounter(gray,clk,rst_n); output [`WIDTH-1:0] gray; input clk; input rst_n; reg [`WIDTH-1:0] binary; always@(posedge clk or negedge rst_n) begin if(!rst_n) binary=0; else if(binary16) begin binary=binary+1; // binary=(binary16)?binary:0; end else binary=0; end assign gray[0]=binary[0]^binary[1]; assign gray[1]=binary[1]^binary[2]; assi

文档评论(0)

panguoxiang + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档