网站大量收购闲置独家精品文档,联系QQ:2885784924

數字逻辑实验报告书.docVIP

  1. 1、本文档共36页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
數字逻辑实验报告书

北京邮电大学课程设计报告 课程设计 名称 数字逻辑与数字系统 学 院 计算机 指导教师 班 级 班内序号 学 号 学生姓名 成绩 07407 06 071147 马 钊 07407 14 071155 袁 泉 07407 21 071162 杨晨笛 07407 29 071170 罗亚群 课 程 设 计 内 容 教学目的:掌握isp LEVER 软件的使用方法,掌握isp器件的使用方法,用VHDL进行较复杂逻辑电路的设计和调试,熟练掌握isp器件的下载方法。 基本内容: 1.简易电子琴 2.简易频率计 3.交通灯控制 4.电子钟显示 5.药片装瓶系统 实验方法:先用VHDL进行软件编程,然后下载到ISP器件,进行硬件仿真实验。 组员分工:详见各实验报告实验分工。 学生 课程设计 报告 (附页) 课 程 设 计 成 绩 评 定 遵照实践教学大纲并根据以下四方面综合评定成绩: 1、课程设计目的任务明确,选题符合教学要求,份量及难易程度 2、团队分工是否恰当与合理 3、综合运用所学知识,提高分析问题、解决问题及实践动手能力的效果 4、是否认真、独立完成属于自己的课程设计内容,课程设计报告是否思路清晰、文字通顺、书写规范 评语: 成绩: 指导教师签名: 年 月 日 注:评语要体现每个学生的工作情况,可以加页。 目录 实验一:简易电子琴 3 实验二:简易频率计 6 实验三:交通灯控制器设计 11 实验四:电子钟设计 17 实验五:药片装瓶系统设计 26 附:数字逻辑与数字系统课程设计心得体会 34 实验一:简易电子琴 一、实验目的 ①掌握较复杂逻辑的设计和调试。 ②掌握用VHDL语言设计数字逻辑电路。 ③掌握ispLEVER软件的使用方法。 ④掌握ISP器件的使用。 ⑤用途: 有电子琴的基本功能,可弹奏出简单的乐曲。 二、实验所用器件和设备 在系统可编程逻辑器件ISP1032 一片 示波器 一台 万用表或逻辑笔 一只 TEC-5实验系统,或TDS-2B数字电路实验系统 一台 三、实验原理 用VHDL设计一个简易电子琴。 有8个按键,每键代表一个音符, 1、2、3、4、5、6、7、i各音符按一定的顺序排列,须符合电子琴的按键排列顺序。 每个音符对应特定的频率的方波信号。 方波信号由多模计数器产生。 方波信号占空比可改变音量大小。 图1-1 简易电子琴原理图 四、设计方案 输入的主频=50KHz,不同的键产生不同频率的输出,输出由多模计数器产生。 多模计数器:M(模)=50000/f 音符 (C) 1 2 3 4 5 6 7 i 频率(Hz) 262 294 330 349 392 440 494 523 模 191 170 151 143 128 114 101 97 多模计数器输出波形: 二分频计数器: 音符 (C) 1 2 3 4 5 6 7 i 模 95 84 75 71 63 56 50 47 (改变音量使输出信号占空比为50%) 五、代码实现 LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; ENTITY piano is port(clk:in std_logic;--时钟源为50kHz k:in std_logic_vector(7 downto 0);--从高位到低位对应1,2,3,4,5,6,7,i dout:out std_logic);--输出至喇叭 end piano; ARCHITECTURE art of piano is signal temp,m:integer range 0 to 127;--temp为计数值,m为计数器模值 begin process(clk,k)--模m计数器 variable a:std_logic; begin case k is when= m=95; when= m=84; when= m=75; when= m=71; when= m=63; when= m=56; when= m=50; when 0000000

文档评论(0)

1234554321 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档