基于Proteus虚拟终端51单片机仿真:串口发送字符串,数码管显示汇编.docx

基于Proteus虚拟终端51单片机仿真:串口发送字符串,数码管显示汇编.docx

  1. 1、本文档共6页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
基于Proteus虚拟终端51单片机仿真:串口发送字符串,数码管显示汇编

先上图:实验程序:/********************************************************************************** 【编写时间】: 2016年6月17日* 【作者】:小瓶子* 【实验平台】: Proteus 7* 【外部晶振】: 11.0592mhz* 【主控芯片】: STC89C51* 【编译环境】:KeilμVisio4* 【程序功能】:在虚拟终端发送8个字符,通过数码管和虚拟*终端显示出来,8个字符发送完毕,显示发送完毕**********************************************************************************/#includereg51.h#includeintrins.h#define uchar unsigned char#define uint unsigned intucharbufr[]=------\r\n;//存放接收数据uchar *prx=bufr;//接收指针bit str; //接收完毕标志位//段码uchar code leddata[]={ 0xC0, //0 0xF9, //1 0xA4, //2 0xB0, //3 0x99, //4 0x92, //5 0x82, //6 0xF8, //7 0x80, //8 0x90, //9 0x88, //A 0x83, //B 0xC6, //C 0xA1, //D 0x86, //E 0x8E, //F 0x89, //H 0xC7, //L 0xC8, //n 0xC1, //u 0x8C, //P 0xA3, //o 0xBF, //- 0xFF, //熄灭 0xFF //自定义 };//延时函数void delay( uinti){uintm,n;for(m=i;m0;m--)for(n=90;n0;n--);}//数码管显示函数void led_display(){uchari;P2 = 0x01;for(i=0;i8;i++){P0=0xff;P2 = _cror_(P2,1);P0 = leddata[bufr[i]-0]; delay(2);}}//字符发送函数void putchar(uchar data1) {SBUF = data1; //将待发送的字符送入发送缓冲器while(!TI); //等待发送完成TI = 0; //发送中断标志请0}//字符串发送函数void putstring(uchar *dat){ while(*dat != \0) //判断字符串是否发送完毕 {putchar(*dat); //发送单个字符dat++; //字符地址加1,指向先下一个字符 delay(5); }}//串口初始化函数void serial_init(){TMOD = 0x20; //定时器工作方式2SCON = 0x50; //串行口工作方式2PCON = 0x80; //SMOD = 1,波特率加倍TH1 = 0xfa;TL1 = 0xfa;//波特率设置9600ES = 1;EA = 1;//开总中断} void main(){serial_init();TR1 = 1;putstring(Receiving from 8051...\r\n); putstring(----------------------\r\n); delay(50);while(!str);//等待

文档评论(0)

jiayou10 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

版权声明书
用户编号:8133070117000003

1亿VIP精品文档

相关文档