- 1、本文档共6页,可阅读全部内容。
- 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
- 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载。
- 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
实验十汽车尾灯的控制电路
实验十 汽车尾灯的控制电路
实验目的
通过用VHDL语言设计汽车尾灯控制电路,掌握用VHDL语言设计实际电路,解决用户的各种需求。
实验原理
采用模块化设计,各模块单独进行编辑、编译、仿真。编译、仿真正确后将各个模块进行封装,然后,新建原理图文件,将各模块的封装图调出来并进行连接(用细线连接信号,用粗线连接位矢量信号)。最后进行编译、仿真及 管脚的分配(用户自定义方式或自动分配方式)。
实验内容
用6个发光二极管模拟6个汽车尾灯(汽车尾部左、右3个),用2个开关作为转弯控制信号(1个开关控制右转弯,另1个开关控制左转弯)。
源程序清单
library ieee;
use ieee.std_logic_1164.all;
entity kong is
port(left,right:in std_logic;
lft,rit,lr:out std_logic);
end kong;
architecture wei of kong is
begin
process (left,right)
variable a:std_logic_vector(1 downto 0);
begin
a:=leftright;
case a is
when00 =
lft=0;
rit=0;
lr=0;
when01 =
lft=0;
rit=1;
lr=0;
when10 =
lft=1;
rit=0;
lr=0;
when others =
lft=1;
rit=1;
lr=1;
end case;
end process;
end wei;
控制模块的波形仿真图如下图
封装图
LEFT LET
RIGHT RIG
LR
Lfta模块
模块lfta的功能是当左转时控制左边的3个灯,当左右转信号都有效时,输出全为‘1’。
library ieee;
use ieee.std_logic_1164.all;
entity lfta is
port (en,clk,lr:in std_logic;
l2,l1,l0:out std_logic);
end lfta;
architecture wei of lfta is
begin
process(clk,en,lr)
variable tmp: std_logic_vector(2 downto 0);
begin
if lr=1then
tmp:=111;
elsif en=0then
tmp:=000;
elsif clkevent and clk=1then
if tmp=000 then
tmp:=001;
else
tmp:=tmp(1 downto 0) 0;
end if;
end if;
l2=tmp(2);
l1=tmp(1);
l0=tmp(0);
end process;
end wei;
模块lfta的波形仿真图如下图
模块lfta封装
EN L2
CLK L1
LR L0
Rita模块
模块rita的功能是控制右边的3个灯,与模块lfta类似。
library ieee;
use ieee.std_logic_1164.all;
entity rita is
port(en,clk,lr:in std_logic;
r2,r1,r0:out std_logic);
end rita;
architecture wei of rita is
begin
process (clk,en,lr)
variable tmp:std_logic_vector(2 downto 0);
begin
if lr=1then
tmp:=111;
elsif en=0 then
tmp:=000;
elsif clkevent and clk=1then
if tmp=000then
tmp:=100;
else
tmp:=0tmp (2 downt
您可能关注的文档
- 实训报告蒲茂坤.doc
- 实训报告第一小组.docx
- 实践技能辅助检查之普通X线影像诊断七胸腔积液临床实践技能考试.docx
- 实训稳压电源的技术指标及对稳压电源的要求苏职大.doc
- 实践教学体系结项论文.doc
- 实践报告封面2-保险合同以及保险原则.doc
- 实训五windows2003下IPSec隧道模式IKE主模式SA配置.doc
- 实训课题一接触器联锁正反转控制线路的安装.doc
- 实验-4气固流化床反应器的流化特性测定.doc
- 实验06-DNSHTTP协议分析.doc
- 10《那一年,面包飘香》教案.docx
- 13 花钟 教学设计-2023-2024学年三年级下册语文统编版.docx
- 2024-2025学年中职学校心理健康教育与霸凌预防的设计.docx
- 2024-2025学年中职生反思与行动的反霸凌教学设计.docx
- 2023-2024学年人教版小学数学一年级上册5.docx
- 4.1.1 线段、射线、直线 教学设计 2024-2025学年北师大版七年级数学上册.docx
- 川教版(2024)三年级上册 2.2在线导航选路线 教案.docx
- Unit 8 Dolls (教学设计)-2024-2025学年译林版(三起)英语四年级上册.docx
- 高一上学期体育与健康人教版 “贪吃蛇”耐久跑 教案.docx
- 第1课时 亿以内数的认识(教学设计)-2024-2025学年四年级上册数学人教版.docx
文档评论(0)