数据选择器设计实验报告.doc

  1. 1、本文档共6页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
数据选择器设计实验报告

PAGE  PAGE 6 实验报告 实验中心电子子信息技术实验中心专业年级电子信息科学与技术2012级实验课程EDA技术与课程设计姓 名实验名称实验一:数据选择器设计学 号提交日期成 绩 一、实验目的 熟悉QuartusⅡ的VHDL文本设计流程全过程,学习简单组合电路的设计、多层次电路设计、仿真和硬件测试。 二、实验设备 GW48系列SOPC/EDA实验开发系统实验箱 一台 计算机 一台 三、实验内容 1首先利用QuartusⅡ完成2选1多路选择器的文本编辑输入(mux21a.vhd)和仿真测试等步骤,给出下图所示的仿真波形。最后在实验系统上进行硬件测试,验证本项设计的功能。 源程序为: ENTITY mux21a IS PORT ( a, b, s: IN BIT; y : OUT BIT ); END ENTITY mux21a; ARCHITECTURE one OF mux21a IS BEGIN PROCESS (a,b,s) BEGIN IF s = 0 THEN y = a ; ELSE y = b ; END IF; END PROCESS; END ARCHITECTURE one ; 图( SEQ 图表 \* ARABIC 1 ) 2选1多路选择器的编译图 、 图(2) 功能仿真的波形图 图(3 ) 功能引脚图 图(4) 2选1多路选择器的RTL电路 2. 将此多路选择器看成是一个元件mux21a,利用元件例化语句描述图,并将此文件放在同一目录中。并对上例分别进行编译、综合、仿真,并对其仿真波形做出分析说明。以下是程序: 图(5) 双二选一多路选择器的编译图 图(6) 双路数据选择器功能仿真图 图(7) 双二选一多路选择器的引脚锁定图 图 5 双2选1多路选择器 图(8) 双路数据选择器RTL电路图 图(9) 编程下载图 3.、引脚锁定以及硬件下载测试。若选择目标器件是EP1C3,建议选实验电路模式5,用键1(PIO0,引脚号为 1)控制 s0;用键 2(PIO1,引脚号为 2)控制 s1;a3、a2 和 a1 分别接 clock5(引脚号为 16)、clock0(引脚号为93)和clock2(引脚号为17);输出信号outy仍接扬声器spker(引脚号为129)。通过短路帽选择clock0接256Hz信号,clock5 接1024Hz,clock2 接8Hz 信号。最后进行编译、下载和硬件测试实验(通过选择键1、键2,控制s0、s1,可使扬声器输出不同音调)。 图(10) 实验电路模式5 图(11) 功能引脚图 四、实验总结 1 实验存在的问题 上学期尽管学过quartus = 2 \* ROMAN \* MERGEFORMAT II但是由于长时间没有操作,很多都已经忘了,所以操作起来 不是很熟练。特别是程序下载方面。 2 解决问题的方法 通过在实验中慢慢回忆起以前学的知识,渐渐熟悉其过程。再有问题就和同学交流帮忙! 3 实验收获 对quartus = 2 \* ROMAN \* MERGEFORMAT II的操作方法更熟练了,也明白它是干什么的了!对软件有了更深的认识。同时也明白了!不管哪方面的学习都要温故而知新。

文档评论(0)

haihang2017 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档